Presentation
22 November 2023 ZEISS AIMS EUV high-NA for actinic mask review for the next EUV scanner generation
Author Affiliations +
Abstract
AIMS® EUV is a unique tool in the EUV mask infrastructure. It allows qualification of the mask printing performance in the aerial image under scanner equivalent conditions. For emulation of the high NA EUV scanner, ZEISS upgraded the existing 0.33 NA AIMS® EUV platform. The system can now emulate both 0.33 NA isomorphic scanners as well as 0.55 NA anamorphic scanners. We present the concept of AIMS® EUV high NA with focus on the emulation of a wafer defocus in the anamorphic high NA scanner. Besides defect review applications, this enables aerial image based high NA imaging studies.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Klaus Gwosch, Renzo Capelli, Matthias Roesch, Robert Nicholls, Bruno Langbehn, Michael Mohn, Andreas Verch, Maximilian Albert, Grizelda Kersteen, Alexander Winkler, Carolin Müller, and Sven Krannich "ZEISS AIMS EUV high-NA for actinic mask review for the next EUV scanner generation", Proc. SPIE PC12750, International Conference on Extreme Ultraviolet Lithography 2023, PC127500O (22 November 2023); https://doi.org/10.1117/12.2687495
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet lithography

Scanners

Extreme ultraviolet

Imaging systems

Light sources and illumination

Lithography

Metrology

RELATED CONTENT

EUV lithography: NXE platform performance overview
Proceedings of SPIE (April 17 2014)
NXE:3300B platform: imaging applications for Logic and DRAM
Proceedings of SPIE (October 01 2013)
Innovating from History
Proceedings of SPIE (January 21 2019)
AIMSTM EUV first insertion into the back end of the...
Proceedings of SPIE (January 02 2019)
High-NA EUV lithography: pushing the limits
Proceedings of SPIE (August 29 2019)

Back to Top