Paper
24 March 2017 Novel methodology to optimize wafer alignment to enhance 14nm on product overlay
Pavan Samudrala, Woong Jae Chung, Lokesh Subramany, Haiyong Gao, Nyan Aung, Seung Chul Oh, Shawn Lee, Erik Delvigne, Blandine Minghetti
Author Affiliations +
Abstract
With continuous shrink in feature dimensions, overlay tolerance for fabrication of transistors is getting more stringent. Achieving good overlay is extremely critical in getting good yield in HVM environment. It is widely understood that good alignment during exposure is critical for better on product overlay [1]. Conventional methods to choose alignment marks on ASML scanners are based on comparing alignment key performance indicators (KPIs) including signal quality, grid repeatability, etc. It is possible that even with good alignment KPIs, OPO is still impacted. In this paper, we propose aspects that need to be monitored to choose proper alignment marks. LIS (Litho In-Sight) alignment, Ideal overlay/APC parameter signatures are used to determine and validate wafer alignment. LIS alignment ‘Target and Profile selection’ analysis enables us to determine best alignment strategy between multiple strategies/marks based on overlay measurements. Analysis includes examining wafer to wafer OPO variation which is key indicator for alignment robustness. Varying overlay parameters within lot would indicate either large process instability or alignment mark signal instability. It is possible that alignment marks depending on their segmentation can be very differently impacted with the process. Ideal overlay/APC signature stability indicates healthy process and wafer alignment. Having similar APC signatures at corresponding layers would mean that there is no major process or alignment issue.
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Pavan Samudrala, Woong Jae Chung, Lokesh Subramany, Haiyong Gao, Nyan Aung, Seung Chul Oh, Shawn Lee, Erik Delvigne, and Blandine Minghetti "Novel methodology to optimize wafer alignment to enhance 14nm on product overlay", Proc. SPIE 10147, Optical Microlithography XXX, 101471U (24 March 2017); https://doi.org/10.1117/12.2258137
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical alignment

Overlay metrology

Lithium

Scanners

Data modeling

Roads

Yield improvement

Back to Top