Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10450, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in International Conference on Extreme Ultraviolet Lithography 2017, edited by Paolo A. Gargini, Patrick P. Naulleau, Kurt G. Ronse, Toshiro Itani, Proceedings of SPIE Vol. 10450 (SPIE, Bellingham, WA, 2017) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510613744

ISBN: 9781510613751 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2017, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/17/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library. 00059_PSISDG10450_1045001_page_2_1.jpg

SPIEDigitalLibrary.org

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tamotsu, 0Z, 1C

Adam, Kostas, 0V

Bahrenberg, Lukas, 1L, 2A

Ban, Chung-Hyun, 1K

Benk, Markus, 08

Bitter, Manfred L., 24

Broman, Par, 0C

Brose, Sascha, 1L, 2A

Cao, Yong, 1A

Chen, Alek, 05

Chen, Yulu, 08

Chiou, Tsann-Bim, 05

Cho, Wonil, 06

Choi, Peter, 17

Choi, Yohan, 05

Clifford, Chris, 0V

Custers, Rolf, 1T

Dai, Huixiong, 1A

Danylyuk, Serhiy, 1L, 2A

De Silva, Anuja, 1A, 1U

de Simone, Danilo, 0H

Delgado-Aparicio, Luis F., 24

Deutz, Alex F., 27

DeVries, Scott, 1A

Diehl, Daniel L., 1A

Dong, Lisong, 1X

Echigo, Masatoshi, 1H

Efthimion, Philip C., 24

Ekinci, Yasin, 07, 1T

Enomoto, Masashi, 1F

Erdmann, A., 0B

Evanschitzky, P., 0B

Fallica, Roberto, 1T

Fan, Taian, 1X

Felix, Nelson M., 1A, 1U

Fenger, Germain, 0V

Fernandez, Sara, 07

Finders, Jo, 0C

Fonseca, Carlos, 17

Foubert, Philippe, 1F

Gallagher, Emily, 1L

Gao, Lan, 24

Gao, Weimin, 0F

Gargini, Paolo A., 18

Goldberg, Kenneth, 08

Green, Michael, 05

Ham, Young, 05

Harada, Tetsuo, 1E, 1H

Hashimoto, Yusaku, 1U

Helfenstein, Patrick, 07

Hetzer, Dave, 1U

Hill, Kenneth W., 24

Hoefnagels, Rik, 1T

Hontake, Koichi, 1U

Hori, Tsukasa, 0Z, 1C

Hsiao, Li-Jen, 21

Hubbard, Alex, 1U

Huli, Lior, 1U

Hur, Su-Mi, 1M

Ichinomiya, Hiroshi, 1F

Ishii, Takuya, 1C

Isoyan, Artak, 0F

Itou, Noritoshi, 1C

Jee, Tae Kwon, 17

Jeong, Hae-Nam, 1Q

Jiang, Fan, 0V

Jiang, Jing, 0H

Johnson, Richard, 1U

Juschkin, Larissa, 2A

Kamberian, Henry, 05, 08

Kamei, Yuya, 1F

Kandel, Yudhi, 0F

Kang, Myung-Gi, 1N

Kasprowicz, Bryan, 08

Kawakami, Shinichiro, 1F, 1U

Kawasuji, Yasufumi, 0Z, 1C

Kazazis, Dimitrios, 07

Kerkhof, Peter J., 27

Kim, Guk-Jin, 1M, 1Q

Kim, Min-Woo, 1O

Ko, Akiteru, 1U

Kodama, Takeshi, 0Z, 1C

Koike, Kyohei, 17

Koster, Norbert B., 27

Kraus, Brian F., 24

Kudo, Hiroto, 1H

Lam, Michael, 0V

Lammers, Ad, 05

Lebert, Rainer, 2A

Lee, Sung-Gyu, 1M, 1N, 1O, 1Q

Lemley, Corey, 1U

Lin, Hoang-Yan, 21

Liu, Eric, 1U

Loosen, Peter, 1L, 2A

Lu, Jian, 24

Mack, Chris A., 0P

Mangat, Pawitter, 08

Matsumoto, Yoko, 1E

McCord, Jeremy, 08

McNamara, John, 0C

Meeuwissen, Marieke, 1T

Meli, Luciana, 1A, 1U

Melvin, Lawrence S., 0F

Mignot, Yann, 1A

Miyao, Kenichi, 1C

Miyazaki, Junji, 05

Mizoguchi, Hakaru, 0Z, 1C

Mochi, Iacopo, 07, 1T

Molkenboer, Freek T., 27

Morgan, Paul A., 06

Muilwijk, Pim M., 27

Mulckhuyse, Wouter, 27

Murray, Cody, 1U

Nafus, Kathleen, 1F

Naito, Michiya, 1E

Nakarai, Hiroaki, 0Z, 1C

Ngai, Christopher S., 1A

Nowak, Krzysztof M., 0Z

Oh, Hye-Keun, 1K, 1M, 1N, 1O, 1Q

Okamoto, Takeshi, 1C

Olvera, Karen Garrido, 1T

Oostdijck, Bastiaan W., 27

Pablant, Novimir A., 24

Park, Eun-Sang, 1K, 1N, 1O

Park, Jae-Hun, 1K

Petrillo, Karen, 1U

Phan, Khoi, 1A

Pollentier, Ivan, 0H, 1L

Price, Daniel, 06

Progler, Chris, 05

Raghunathan, Ananthan, 0V

Rajeev, Rajendran, 07

Rio, David, 17

Rispens, Gijsbert, 1T

Rost, Daniel, 06

Saitou, Takashi, 0Z, 1C

Satake, Masaki, 06

Sato, Takashi, 1H

Schoofs, Stijn, 17

Sekiguchi, Atsushi, 1E

Seshadri, Indira, 1A

Shimoaoki, Takeshi, 1U

Shiozawa, Takahiro, 1F

Shiraishi, Yutaka, 0Z, 1C

Soumagne, Georg, 0Z

Stolfi, Michael, 1A

Stollenwerk, Jochen, 1L

Takigawa, Tomoaki, 1H

Tanaka, Hiroshi, 0Z, 1C

Tanaka, Koichiro, 1U

Tasdemir, Zuhal, 1T

te Sligte, Edwin, 27

Timmermans, Marina, 1L

Timoshkov, Vadim, 17

Togashi, Yuta, 1H

Toida, Takumi, 1H

Tolani, Vikram L., 06

Tsai, Yu-Cheng, 17

Tseng, Shih-En, 05

Utsumi, Yoshiyuki, 1E

van Adrichem, Paul, 0C

van der Walle, Peter, 27

van Putten, Michel, 27

van Setten, Eelco, 0C

Vanelderen, Pieter, 0H

Vesters, Yannick, 0H

Vockenhuber, Michaela, 1T

Wallow, Thomas, 08

Wang, Erik, 0C

Watanabe, Takeo, 1E, 1H

Watanabe, Yukio, 0Z, 1C

Wei, Yayi, 1X

Westerhout, Jeroen, 27

Wood, Obert, 08

Xu, Yongan, 1A, 1U

Yabu, Takayuki, 1C

Yaegashi, Hidetami, 17

Yamada, Tsuyoshi, 0Z

Yamazaki, Taku, 0Z, 1C

Yanagida, Tatsuya, 0Z, 1C

Yildirim, Oktay, 1T

Yoshitake, Shusuke, 07

Zeng, Wilson, 1A

Conference Committee

Conference Chairs

  • Paolo A. Gargini, Stanford University (United States)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Kurt G. Ronse, IMEC (Belgium)

  • Toshiro Itani, EUVL Infrastructure Development Center, Inc. (Japan)

Conference Program Committee

  • Eric M. Panning, Intel Corporation (United States)

  • Winfried Kaiser, Carl Zeiss SMT GmbH (Germany)

  • Satoshi Tanaka, EUVL Infrastructure Development Ctr., Inc. (Japan)

  • Eric Hendrickx, IMEC (Belgium)

Session Chairs

  • Keynote Session: Joint Session with conferences 10451 and 10450

  • Eric M. Panning, Intel Corporation (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • EUV Readiness: Joint session with conferences 10450 and 10451

  • Eric M. Panning, Intel Corporation (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • EUV Mask Inspection: Joint session with conferences 10451 and 10450

  • Paul A. Morgan, Micron Technology, Inc. (United States)

  • EUV Mask Metrology and Inspection: Joint session with conferences 10450 and 10451

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • EUV Mask Pellicle: Joint session with conferences 10451 and 10450

  • Emily E. Gallagher, IMEC (Belgium)

  • Dario L. Goldfarb, IBM Thomas J. Watson Research Center (United States)

  • EUV Mask and Imaging

  • Peter Evanschitzky, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

  • Jin-ho Ahn, Hanyang University (Korea, Republic of)

  • EUV Resist I

  • Gregory H. Denbeaux, SUNY Polytechnic Institute (United States)

  • Takahiro Kozawa, Osaka University (Japan)

  • EUV Resists II

  • Eishi Shiobara, EUVL Infrastructure Development Center, Inc. (Japan)

  • Student Session: Joint session with conferences 10451 and 10450

  • Yuyang Sun, Mentor Graphics Corporation (United States)

  • Shinji Okazaki, ALITECS Company, Ltd. (Japan)

  • High-NA EUV Lithography

  • Winfried Kaiser, Carl Zeiss SMT GmbH (Germany)

  • Erik R. Hosler, GLOBALFOUNDRIES Inc. (United States)

  • EUV Source

  • Jan van Schoot, ASML Netherlands B.V. (Netherlands)

  • Sang Hun Lee, Intel Corporation (United States)

  • EUV Patterning and Process Enhancement I

  • Ryoung-Han Kim, IMEC (Belgium)

  • EUV Patterning and Process Enhancement II

  • Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

  • Wang Yueh, Intel Corporation (United States)

© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10450", Proc. SPIE 10450, International Conference on Extreme Ultraviolet Lithography 2017, 1045001 (16 November 2017); https://doi.org/10.1117/12.2293159
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Extreme ultraviolet

Image processing

Pellicles

Photoresist processing

Inspection

Back to Top