Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10809, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in International Conference on Extreme Ultraviolet Lithography 2018, edited by Kurt G. Ronse, Eric Hendrickx, Patrick P. Naulleau, Paolo A. Gargini, Toshiro Itani, Proceedings of SPIE Vol. 10809 (SPIE, Bellingham, WA, 2018) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510622135

ISBN: 9781510622142 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2018, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/18/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00002_PSISDG10809_1080901_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tamotsu, 1M

Abraham, Mathew, 1L

Abramenko, Dimitri, 1Q

Ahn, Jinho, 0R

Anantha, Vidyasagar, 09

Anderson, Chris, 0V

Arps, J., 1E, 1I

Babulnath, Raghav, 09

Bekaert, Joost, 0M

Bergmann, K., 1V

Biafore, John J., 0A

Biermanns-Föth, A., 1E, 1I

Biesemans, S., 0N

Biller, J., 1A

Blanco, V., 0N

Blankenship, David, 0A

Brandt, David C., 1L

Brose, S., 1A, 1V

Brown, Daniel J., 1L

Brunner, Timothy, 03, 0O

Buchholz, Christian, 1U

Cerio, Frank, 0F

Chae, Yoo-Jin, 1H

Chang, Yi-Hsin, 0C

Chao, Alexander W., 07

Chen, John Y., 02

Chen, Lei, 1S

Church, Jennifer, 16

Cordova, Isvar A., 0V

Cross, Andrew, 09

Dang, Luong Nguyen, 0X

Danylyuk, S., 1A, 1V

De Bisschop, Peter, 09

De Dea, Silvia, 1L

De Poortere, E., 0N

De Silva, Anuja, 16

De Simone, Danilo, 0U

Deuter, V., 1A

Devasahayam, Adrian J., 0F

Dillen, Harm, 0C

Dong, Lisong, 19

Dusa, M., 0N

Echigo, Masatoshi, 1X

Ekinci, Yasin, 0X

Ellwi, Samir, 1Q

Enomoto, Masashi, 24

Ershov, Alexander I., 1L

Fan, Taian, 19

Felix, Nelson M., 16

Fischer, Andreas, 1U

Fomenkov, Igor V., 1L

Foubert, Philippe, 24

Franke, J.-H., 0N

Freychet, Guillaume, 0V

Frommhold, Andreas, 0M

Fung, Derek, 09

Gädda, Thomas, 0X

Gargini, Paolo A., 14

Gillijns, W., 0N

Glushkov, Denis, 1Q

Goldfarb, Dario L., 16

Graves, Trey, 0A

Grochowicz, M., 1A

Grützmacher, D., 1A

Guo, Jing, 16

Gupta, Puneet, 1H

Halder, Sandip, 09

Harada, Tetsuo, 1T, 1Y

Hashimoto, Yusaku, 24

Hendrickx, Eric, 0M, 0N

Hess, Alexander, 16

Hexemer, Alexander, 0V

Hong, Juhee, 0R

Hori, Tsukasa, 1M

Hunsche, Stefan, 0C

Ip, Vincent, 0F

Ivanov, Vladimir, 1Q

Jang, Yongju, 0R

Jonckheere, Rik, 1H

Juschkin, L., 1A

Kadoi, M., 1W

Kamei, Yuya, 24

Karaste, Kimmo, 0X

Kawakami, Shinichiro, 24

Kawasuji, Yasufumi, 1M

Kazizis, Kimmo, 0X

Kea, Marc, 0C

Kohli, Sandeep, 0F

Kooiman, Marleen, 0C

Koshelev, Konstantin, 1Q

Krivokorytov, Mikhail, 1Q

Krivtsun, Vladimir, 1Q

Kumar, Bharat, 16

Kumar, Dinesh, 0V

LaForge, Andrew, 1L

Lash, Alexander, 1Q

Laubis, Christian, 1U

Laukkanen, Markus, 0X

Lebert, Rainer, 1E, 1I, 1Q, 1V

Lee, Changhun, 0R

Lee, Inhwan, 0A

Lee, Meng H., 0F

Lee, Sung-Gyu, 1G

Legein, Colette, 05

Levinson, Harry J., 03

Levinson, Zac, 0O

Levoso, Mathew S., 0F

Lim, Mijung, 0A

Long, Luke, 0B

Loosen, P., 1V

Lyakhova, K., 0N

Makinoshima, Takashi, 1X

Mastenbroek, Marcel, 04

Matsumoto, Y., 1W

McAfee, Terry, 0V

Medvedev, Vyacheslav, 1Q

Meli, Luciana, 16

Mettry, Magi, 16

Minami, H., 1W

Minami, Y., 1W

Missalla, T., 1E, 1I, 1V

Miyakawa, Ryan, 1S

Mizoguchi, Hakaru, 1M

Nafus, Kathleen, 0N, 24

Nagai, Shinji, 1M

Nakarai, Hiroaki, 1M

Nam, Keesoo, 0R

Naulleau, Patrick, 0B, 0T, 0V, 1S

Neureuther, Andrew, 0B, 0T

Niihara, Shota, 1Y

Nishimura, Yuichi, 1M

No, Hee-Ra, 1G

Oh, Hye-Keun, 1G

Oh, Se-Hun, 1G

Pampfer, C., 1E

Pandolfi, Ronald J., 0V

Park, Chulkyun, 0R

Phiesel, C., 1E, 1I, 1V

Piel, C., 1E, 1I, 1V

Plihal, Martin, 09

Pollentier, Ivan, 0U

Purvis, Michael A., 1L

Rafac, Robert J., 1L

Rajyaguru, Chirag, 1L

Ran, Xue, 0U

Rantala, Juha, 0X

Rio, D., 0N

Rispens, Gijsbert, 0C, 0M

Robertson, Stewart A., 0A

Rokitski, Slava, 1L

Rook, Katrina, 0F

Sah, Kaushik, 09

Saito, Takashi, 1M

Sato, Takashi, 1X

Schafgans, Alexander A., 1L

Schiffelers, Guido, 05, 0M

Scholze, Frank, 1U

Sekiguchi, A., 1W

Seroglazov, Pavel, 1Q

Sherwin, Stuart, 0T

Shimoaoki, Takeshi, 24

Shinjo, Sachiko, 1X

Shiraishi, Yutaka, 1M

Sidelnikov, Yuri, 1Q

Smith, Bruce W., 0O

Soltwisch, Victor, 1U

Sonoda, Akihiro, 24

Soumagne, Georg, 1M

Spence, C., 0N

Srinivasan, Narasimhan, 0F

Stewart, Jayson, 1L

Tadokoro, Masahide, 24

Tagbo, Claudia, 1U

Takahashi, S., 1W

Tang, Peng, 0C

Tao, Yezheng, 1L

Taubner, T., 1A

Tien, Daniel, 0C

Togashi, Yuta, 1X

Toida, Takumi, 1X

Ueno, Yoshifumi, 1M

Vaglio Pret, Alessandro, 0A

Van Adrichem, P., 0N

Van Look, Lieve, 0M

Vandenberghe, Geert, 0U

Vaschenko, Georgiy O., 1L

Venelderen, Pieter, 0U

Verduijn, Erik, 0O

Vesters, Yannick, 0U

Vieker, J., 1V

Vinokhodov, Alexander, 1Q

Wakana, Katsuhiko, 1M

Wang, Cheng, 0V

Wang, Fei, 0C

Wang, Fuming, 0C

Wang, Yanrong, 19

Watanabe, Takeo, 1T, 1W, 1Y

Wei, Yayi, 19

Wi, Seongju, 0R

Wittebrood, Friso, 05

Wojtecki, Rudy J., 16

Wood, Obert, 0O

Wu, Juhao, 07

Yabu, Takayuki, 1M

Yakushev, Oleg, 1Q

Yakushkin, Alexey, 1Q

Yan, Jiang, 19

Yanagida, Tatsuya, 1M

Yang, Zhizhen, 19

Zhang, Jing, 19

Zhang, Pengcheng, 0C

Zhu, Wenhua, 1S

Conference Committee

Conference Chairs

  • Kurt G. Ronse, imec (Belgium)

  • Eric Hendrickx, imec (Belgium)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Paolo A. Gargini, Stanford University (United States)

  • Toshiro Itani, EUVL Infrastructure Development Center, Inc. (Japan)

Conference Program Committee

  • Eric M. Panning, Intel Corporation (United States)

  • Winfried Kaiser, Carl Zeiss SMT GmbH (Germany)

  • Satoshi Tanaka, EUVL Infrastructure Development Center, Inc. (Japan)

Session Chairs

1 Plenary Session

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Frank E. Abboud, Intel Corporation (United States)

2 EUV Scanner and Source

  • Chang-Moon Lim, SK Hynix, Inc. (Korea, Republic of)

  • Takayuki Uchiyama, EIDEC (Japan)

3 EUV Process Control

  • Anuja De Silva, IBM Corporation (United States)

  • Danilo De Simone, imec (Belgium)

4 EUV Mask Blanks: Joint Session with conferences 10809 and 10810

  • Takahiro Onoue, HOYA Corporation (Japan)

  • Paul A. Morgan, Micron Technology, Inc. (United States)

5 EUV Materials I

  • Takahiro Kozawa, Osaka University (Japan)

  • Anna Lio, Intel Corporation (United States)

6 EUV Mask and Imaging: Joint Session with conferences 10809 and 10810

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

  • Ted Liang, Intel Corporation (United States)

7 EUV Inspection, Repair, and Verification: Joint Session with conferences 10809 and 10810

  • Yasin Ekinci, Paul Scherrer Institut (Switzerland)

  • Thomas Scherübl, Carl Zeiss SMT GmbH (Germany)

8 EUV Pellicle and Metrology: Joint Session with conferences 10809 and 10810

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Byung Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

9 EUV Materials II

  • Huixiong Dai, Applied Materials, Inc. (United States)

  • Toru Fujimori, FUJIFILM Corporation (Japan)

10 High-NA and Imaging

  • Lieve van Look, imec (Belgium)

  • Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

11 Patterning

  • Jan van Schoot, ASML Netherlands B.V. (Netherlands)

  • Eric M. Panning, Intel Corporation (United States)

Introduction

The following papers are the manuscripts of the International Symposium on EUV lithography (EUVLS), organized by imec, Eureka, SPIE, and Eidec in Monterey (CA) from 17 to 20 September 2018.

The EUVL Symposium was for the second year co-organized together with Photomask 2018 Conference (PM) and was well attended. Over 580 attendees followed the sessions which were divided into joint sessions and separate sessions for EUVLS and PM.

After all the EUVL sessions, the EUVL Symposium Steering Committee jointly discussed the progress on the focus points identified in 2017 and formulated focus points towards 2019.

The Committee expressed strong confidence in EUVL insertion in 2019 at the 7nm node. With EUVL source power increased to 250W and the large number of scanner shipments in 2018, it is believed to be unlikely that EUVL will not be taken into (pilot) production in the next 12 months. The Committee also expressed the the opinion that the current status of photoresists and masks (including mask actinic infrastructure) is suitable to support 7-nm node insertion.

For the next technology nodes (5nm and beyond), attention in various areas is required. Focus item number one is still stochastics, leading to roughness and failures. Stochastics come partly from shot noise and partly from EUVL materials/processes and need to be co-optimized in order to guarantee the required yield. Focus item two is EUV mask defectivity, which commonly will be tackled by using EUV pellicles. The use of pellicles is still hard and troublesome and reduces the throughput. Focus item three is litho cluster reliability, which should exceed 90% (estimated nowadays to reach about 80%). Downtime should primarily consist of scheduled down, no surprises. Finally, focus item four calls for the development of actinic patterned mask inspection and alternative mask absorbers to increase the overlapping process windows of typical use cases.

With these conclusions, the community is informed where to concentrate research and development efforts. The next EUVL Symposium is again planned in September 2019 in Monterey, again co-organized with the PM2019 Conference.

Kurt G. Ronse

Eric Hendrickx

Patrick P. Naulleau

Paolo A. Gargini

Toshiro Itani

© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10809", Proc. SPIE 10809, International Conference on Extreme Ultraviolet Lithography 2018, 1080901 (12 November 2018); https://doi.org/10.1117/12.2517863
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Electron beam lithography

Pellicles

Imaging systems

Stochastic processes

3D image processing

Back to Top