Presentation + Paper
26 March 2019 Investigation of mask absorber induced image shift in EUV lithography
Author Affiliations +
Abstract
With the introduction of EUV lithography into early manufacturing, the lithography community still struggles with some imaging issues, like the magnitude of usable depth of focus, and reduced contrast which can impact stochastic effects such as defectivity and line edge roughness. In recent years EMF mask effects and their impact on best focus shift in EUV lithography were investigated, and alternative absorber stacks were proposed in order to improve control of focus and contrast. Recently, interest in phase shifting masks has resurfaced, and there are proposals for alternative absorber stacks that depend on feature type to be patterned. In this paper, we investigate the phenomenon that for a dipole the separate images of the two monopoles do not overlap with each other. This means that the contrast for the dipole is measurably lower than that of the individual monopoles. We discuss the impact of this effect on contrast and usable depth of focus, propose a method to measure this image split effect, and investigate which absorber materials can reduce it. One approach to suppressing the image split has been the choice of a material that is index matched to vacuum, which therefore shows a negligible image split behavior. While this image split is indeed largely suppressed for absorbers with n ≈ 1, those materials have markedly low intrinsic image contrast, making them unsuitable as absorbers. Instead, we have converged on absorbers that create either a significant phase shift or feature very strong absorption, with recent proposals coming close to a π phase shift for attPSM. We hypothesize that the close index match to vacuum is detrimental to imaging because a larger part of the light is absorbed which would otherwise have contributed to imaging.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Martin Burkhardt, Anuja De Silva, Jennifer Church, Luciana Meli, Chris Robinson, and Nelson Felix "Investigation of mask absorber induced image shift in EUV lithography", Proc. SPIE 10957, Extreme Ultraviolet (EUV) Lithography X, 1095710 (26 March 2019); https://doi.org/10.1117/12.2515365
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Phase shifts

Extreme ultraviolet lithography

Palladium

Extreme ultraviolet

Nickel

Phase shifting

Photomasks

RELATED CONTENT


Back to Top