Presentation + Paper
20 October 2020 Mask absorber for next generation EUV lithography
Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen
Author Affiliations +
Abstract
Novel mask absorber designs are calling attention of the EUVL community due to their ability to mitigate mask 3D effects. Material selection is part of such optimization [1]. In this paper we propose several candidates as novel EUV lithography mask absorbers, namely TaTeN, Ru-Ta and Pt-Mo alloys. The choice of these materials is based on their theoretical performance evaluated by EUV imaging simulation based on their complex refractive index N(λ) = n(λ) +ik(λ), where the optical constants n and k relate to the phase velocity and to the absorption of an electromagnetic radiation with a wavelength λ, respectively. The materials are deposited as thin films on Si substrate with an additional Ru layer to mimic the cap of multilayer mirror (MLM) on the real mask. The experimental n and k values are determined by analyzing EUV reflectivity data obtained using a 13.5 nm synchrotron EUV radiation. The imaging simulation presented in this paper consists of calculation of several imaging metrics like non-telecentricity, normalized image log-slop (NILS), and threshold-to-size for specific use cases using the novel absorber. It also compares the proposed materials to the reference TaBN absorber. TaTeN shows higher absorption than TaBN and refraction closer to 1, which improves phase matching for a high k absorber. The refractive index of Ru-Ta and Pt-Mo alloys exhibits a large difference to that of air and provides the required phased shift of attenuated phase shift masks [2]. The characterizations of these materials target the requirements of an EUVL mask: durability for mask cleaning, mask lifetime and etchablity for mask patterning. The stability is first tested against several standard mask cleaning solutions by beaker test up to 24 hours with the film structure monitored by X-ray reflectivity analysis. The samples are also exposed to hydrogen plasma to imitate the working environment in a EUV scanner. Material integrity is checked with Rutherford backscattering spectroscopy before and after the exposure. Concerning material patterning, chemical reactive ion etch is applied for preliminary tests. A proper etch recipe is found for TaTeN with good etch rate (about 60 nm/min) and good selectivity to Ru underlayer (Ru etch is ignorable).
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, and Vicky Philipsen "Mask absorber for next generation EUV lithography", Proc. SPIE 11517, Extreme Ultraviolet Lithography 2020, 1151706 (20 October 2020); https://doi.org/10.1117/12.2572114
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Etching

Extreme ultraviolet

Ruthenium

X-rays

Mask cleaning

RELATED CONTENT

EUVL mask with Ru ML capping
Proceedings of SPIE (December 17 2003)
Phase-shift mask in EUV lithography
Proceedings of SPIE (June 16 2003)
Novel absorber materials for EUV lithography mask
Proceedings of SPIE (May 11 2009)

Back to Top