Presentation + Paper
18 December 2020 Multilayer optimization for high-NA EUV mask3D suppression
Author Affiliations +
Abstract
Today’s EUV masks are optimized for maximum reflectivity at 6o angle of incidence to support imaging on the 0.33NA scanners. The High-NA EUV scanner will have an NA of 0.55 and anamorphic optics, as right balance between productivity and keeping the angles on mask level compatible with the current EUV masks. However, for selected use-cases increased Mask-3D effects are observed. The enlarged angular spread on mask for vertical L/S may cause, amongst others, an increased non-telecentricity variation across slit compared to 0.33NA, potentially leading to on-product overlay errors. In this paper, we will show the root-cause of these enhanced M3D effects for High-NA EUV and the role of the EUV mask multilayer. We will show how an ideal EUV multilayer could look like to mitigate these M3D effects and propose a practical embodiment in the form of a RuSi multilayer stack. We will show some first experimental results of an ion beam deposited RuSi test sample, assess the main challenges from manufacturability point of view and what imaging performance can be expected for such a mask multilayer applied for High-NA EUV.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Eelco van Setten, Katrina Rook, Hazem Mesilhy, Gerardo Bottiglieri, Frank Timmermans, Meng Lee, Andreas Erdmann, and Tim Brunner "Multilayer optimization for high-NA EUV mask3D suppression", Proc. SPIE 11517, Extreme Ultraviolet Lithography 2020, 115170Y (18 December 2020); https://doi.org/10.1117/12.2574450
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Extreme ultraviolet lithography

Scanners

EUV optics

Ion beams

Manufacturing

Reflectivity

RELATED CONTENT

Actinic review of EUV masks Status and recent results...
Proceedings of SPIE (March 16 2015)
EUV overlay strategy for improving MMO
Proceedings of SPIE (April 17 2014)
The future of EUV lithography enabling Moore's Law in...
Proceedings of SPIE (March 27 2017)
Novel EUV mask black border and its impact on wafer...
Proceedings of SPIE (March 18 2016)
LPP source system development for HVM
Proceedings of SPIE (March 17 2009)
Cooled EUV collector optics for LPP and DPP sources
Proceedings of SPIE (March 29 2011)

Back to Top