PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
The fabrication cost of the semiconductor device is increasing because the fabrication cost per wafer unit area and the mask cost are increasing rapidly with the design rule decreased. The rapid increase in the mask cost will influence the semiconductor industry growth. The progress in the lithography, including the mask, is the key issue for the progress in the entire semiconductor technology beyond 180 nm design rule, because the mask is indispensable for any types of lithography, and is regarded as one of the most critical technologies, both in resolution and productivity. To continue the progress in the entire semiconductor technology and the growth of the semiconductor business, it is indispensable to make challenges in the low cost and high precision mask technology under the cooperation with related industries and academia. It is especially important to develop the cost optimum solution for the total lithography technology including masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The benefits of incorporating some 'distortion' to the design data in order to produce the desired results on the wafers has been recognized for many years. This 'distortion' has come to be commonly referred to as optical proximity correction (OPC) by the lithography community. In today's era of high throughput laser reticle writing tools, line shortening and corner rounding has forced OPC up the lithography tree from wafer imaging to reticle imaging. With the increasing popularity of 4X systems, the comparatively large spot laser reticle writing systems in the field today need to be extended before being rendered useless for critical reticle requirements due to reticle corner rounding, line shortening and scatter bar resolution. These problems must be resolved in order to extend the use of laser tool for technology node below 0.25 micrometer. Some previous work has been done in adding corner serifs to eliminate corner rounding in contact holes. It was clear from the results that the optimal serifs sizes could be different when patterns were written on different tools. However, there is no clear understanding how the process may affect the outcome. A recent paper by W. Ziegler, et al shows the effect of adding small serifs to line ends on line end shortening based on aerial image and wafer measurement. This paper will discuss the effect of Laser Proximity correction (LPC) and the reticle manufacturing processes on pattern fidelity. CAPROX LPCTM is used to correct for distoritons during the mask exposure. Not only will the impact of lithographic tools on OPC be discussed, but an examination of the effect of wet and dry etched processes on corner rounding, image fidelity, and line end shortening will also be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A commercial OPC software is employed to add assisting features and hammerheads on the critical layers of VLSI chips; the resulting features on the masks and resist patterns on wafers have been studied. The 0.18 micrometer mask patterns with this OPC has shown approximately 0.3 micrometer wider focus latitude than that without OPC assistant features. The energy latitude is 6% larger for the one with OPC features. A microprocessor has been used as a vehicle to show the influence of adding OPC features in terms of product yield. Chips with OPC has about 6% to 8% higher yield than those without OPC under nominal exposure conditions. OPC turns out to be much more effective at extreme exposure conditions, e.g., at smaller CD regime very much less than 0.18 micrometer. The various defect types and sizes created in these OPC masks are also studied including defect printability on wafers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There is a certain exposure dose at which variations in electron-beam (e-beam) spot size have virtually no impact on the resulting feature width. In optical lithography, this phenomenon is well characterized and is called the isofocal point. The exposure that produces a flat response of linewidth versus spot size is called the isofocal dose, and the resulting feature width is called the isofocal critical dimension (CD). It is intuitive that operating in the flat portion of the curve will have advantages from a process latitude perspective. Also, it is significant to note that the isofocal CD occurs at widths that are overexposed with respect to the target spacewidth. Typically, this difference is resolved by sizing data so that the dose to size approaches the amount needed to reach the isofocal point. As linewidths continue to shrink, sizing will become a point of contention, because resolution can be limited by the magnitude of data bias. In this paper, we examine the effect of resist contrast on the difference between dose to size and dose to isofocal. ZEP 7000, a resist from Nippon Zeon, is examined and compared to resists with other different dissolution rates. Included in the resist selection is a high gamma resist that is modeled on chemically amplified resists (CARs).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a synthetic silica glass substrate for the next generation, which the resolves three demands of the photo-lithography for substrates: (1) material quality, (2) surface quality, (3) dimensional quality. (1) Synthetic silica substrate is required to be ArF-resistant, corresponding to 0.1 micrometer-order-rule-lithography. We have found a way of controlling the impurities in the synthesis of silica and developed a high-transmission substrate for 193 nm-excimer laser as well as for the 248 nm model. Also when the repeat fluence of the beam has a stronger intensity than in the case of lithography, it dose not affect the transmission property and the other optical properties. (2) The surface of the substrates must have no basic defects and must be extremely smooth because of the need for precise lithography. We are working on a method of developing a surface that has no defects larger than 0.3 micrometer in size. It is also remarkably resistant to such etchants as hydrogen fluoride and alkali solutions. (3) Global flatness of substrates is highly important in dimensional quality. We have already made substrates with 0.5 micrometer-flatness 6 inch and have prospects of developing substrates with submicron-flatness of 230 mm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the performances of positive Chemically Amplified Resist (CAR) with High Acceleration Voltage System on mask fabrication, widely. As we had expected, the resolution and pattern fidelity both after development and after etching were improved dramatically, because of its high contrast and good dry etching durability. As a result, practical resolution limitation was 0.2 micrometer and CD linearity for 0.2 micrometer to approximately 1.0 micrometer pattern range was 0.034 micrometer with Proximity Effect Correction (PEC). We obtained CD uniformity of 31 to approximately 55 nm, to 120 X 120 mm2 area.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The pattern size of the Logic devices and the Logic-embedded DRAM devices as well as the DRAM devices are reducing. For the photo mask accurate critical dimension (CD) and CD uniformity are needed. Therefore the Cr dry etching process has been studied. But it is well known that the CD loss is affected by pattern density. This phenomenon was called loading effect. This is a big issue to apply dry etching for advanced photo mask process such as 180 nm generation and later. For example, there was about 90 nm line width difference of a test mask (6' AR-chrome with ZEP-7000) processed by magnetically enhanced reactive ion etching (MERIE) using Cl2+O2 gas mixture. In general, it is known that adding another gas is helpful for etching rate uniformity in dry etching. Effect of H2, HCl and NH3 as the adding gas in Cl2+O2, was investigated using a MERIE system (MEPS-6025) for improving line width difference between clearfield and darkfield. It was found that an adding gas including H had some effect for reduction of the difference. H2 and HCl was effective, and reduction of the difference was about 90% to 67%. With this condition the Cr dry etching rate increased. Therefore the all problem of Cr dry etching was solved. Using this dry etching process, the photo masks are supplied for 180 nm generation Logic-embedded DRAM devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An advanced photomask dry etching system (NLDE-9035 Prototype) has been evaluated. This system adopts new plasma source NLDE, and has a 230 mm mask capability. In this experiment, etching uniformity, selectivity and etching pattern profile were mainly evaluated. Etching uniformity of 20 nm (range) was obtained and good pattern fidelity was confirmed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Y2K problem has analogies in the mask-making world. With the Y2K problem where a date field has just two bytes for the year, there are some cases of mask-making data in which the file size cannot exceed 2 gigabytes. Where a two-digit date field can only unambiguously use a limited range of values (00 to 99), design coordinates can only cover a range of about 4 billion values, which is getting a little uncomfortable for all of the new applications. In retrospect, with a degree of foresight and planning the Y2K date problem could have been easily solved if new encodings had been allowed in the two- digit field. Likewise, in the mask-making industry we currently have the opportunity to achieve far superior data compression if we allow some new forms of data encoding in our data. But this will require universal agreement. The correct way to look at the Y2K problem is that some information was left out of the data stream due to common understandings that made the additional information superfluous. But as the year 2000 approaches, it has become widely recognized that missing data needs to be stated explicitly, and any ambiguities in the representation of the data will need to be eliminated with precise specifications. In a similar way, old mask data generation methods have had numerous flaws that we have been able to ignore for a long time. But now is the time to fix theses flaws and provide extended capabilities. What is not yet clear is if the old data generation methods can be modified to meet these developing needs. Unilateral action is not likely to lead to much progress, so some united effort is required by all interested parties if success is to be achieved in the brief time that remains.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several new features have been added to the aerial image simulation function of PROPHET due to process engineers' request for more precise evaluation of simulation results. In the previous version, only contour lines of light intensity were shown in the layout editor window. This new version of PROPHET can show a cross sectional display of two dimensional light intensity on any user specified line segment with the aid of layout editor. Line width measurement, light intensity measurement and multiple exposure simulation can be done by utilizing the two dimensional light intensity data file previously used only to draw contour lines. The optimal coherent approximation (OCA) reduces simulation time to 1/50 in high sigma region. A Fickian diffusion of the light intensity profile and a simple resist processing model gives more precise simulation results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new LSI layout format LPX (LSI Layout Pattern data Transfer format) applicable to below 0.18 micrometer device generation is proposed. The format is assigned to omit redundant expressions of the GDS-II Stream format. The expression of LPX format uses features of LSI pattern data, and performance of compaction is higher than that of 'gzip,' the famous byte bases data compression tool, the compaction rate with LPX is 0.15, while that with 'gzip' is 0.19. The processing time of conversion to LPX from GDS-II Stream file running with a PentiumPro 200 MHz is 45% shorter than that with 'gzip,' and output file size is 21% smaller than that as well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An automated method has been developed to generate auxiliary patterns to cancel unexpected images formed by attenuate phase-shift masks. This method consists of checking the area where sidelobes overlap and generating the auxiliary patterns. The sidelobes are approximated by polygons and the degree of overlap is checked. Taking overlap degree information into account, auxiliary pattern size is defined and automatically generated. Practicality of this method is confirmed by application to a medium-scale layout of 105 patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alternate-type phase shifting masks (PSMs) have been investigated as a methods used to fabricate 0.18 micrometer and smaller rule devices. For practical use, an automatic shifter placement CAD, a DRC for the indicating errors in rules for alternate-type PSMs, and an optical proximity correction (OPC) tool are indispensable. We previously reported on the algorithm for the shifter placement and the DRC for alternate-type PSMs and the OPC tool. We now report that these tools enhance the practical CAD system, fully supporting the entire process from designing the physical layout to adjusting the mask patterns in order to prevent the optical proximity effects. First, the phase shifting patterns are generated automatically. Next, the DRC tool indicates rule errors for the design of alternate-type PSMs. The Designers must modify the indicated portions and replace the shifters or DRC until there are no errors. In order to be more general than our previous tools, it is important that the delivering data between the layout editor and the program be GDS formatted data. Following the designing of the PSMs, the OPC tool, which was developed on parallel processor units, adjusts the designed patterns. Our system was actually used to fabricate 0.18 micrometer rule full-chip devices, and thus we confirmed that the computing performance is satisfactorily practical and that the CAD system shows promises as a means of fabricating rule devices beyond current rules.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The practical OPC simulation system suitable for memory devices is developed with a simple threshold model considering acid diffusion in chemically amplified resists. And the resist parameter extraction method is also presented. The simulation performance is within 0.01 micrometer CD error, and within a few second computation time for 4 micrometer2 area memory cell on a EWS.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For common approach for low k1-factor process, or just shrink LSI chip size, Optical Proximity effect Correction (OPC) has been getting popular. Though only the OPC effect tends to be discussed at design or wafer process stages, the OPC data processing or OPC photomask writing should be also discussed. Through many experiments with fabricated OPC reticles using many kinds of OPC data, the pursuit of reasonable OPC has been continuing. Then practical design grid for reasonable OPC that will improve data processing, output volume and photomask writing time keeping with regardful OPC effect is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Proximity effect is a major source of CD variation in EB process, many solution had been proposed to solve this problem on EB writer, but it is very difficult to handle all kind of pattern and the pattern environment is getting more and more complex. Recent OPC software is widely used by wafer fab and the speed of computer platform is improved to make it less painful to run the OPC software. It is not much theoretical difference between OPC and EB_PEC for this kind of software, so instead of doing PEC on EB writer, the software EB_PEC may be a good approach.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For planarization of interlevel dielectric between two wiring layers, chemical mechanical polishing (CMP) is used from 0.25 micrometer devices. Here, in order to improve global planarity, dummy patterns area added to the original wiring patterns. In the conventional dummy generation system, because the all wiring patterns are oversized geometrically to obtain CMP area, the geometrical oversizing consumes a long time. In order to reduce the time of geometrical oversizing, minimum CMP area and maximum CMP area, which is calculated faster than geometrical oversizing, are introduced in the new data processing. At first, instead of the geometrical oversizing, the total area of patterns (minimum CMP area) and the total area of individually oversized patterns (maximum CMP area) are calculated at each small region in wiring layer. Then dummy generation regions are selected by using these two total area. When all of surroundings of a small region are decided to be dummy generation region or not, the geometrical oversizing can be omitted at the small region. As the number of regions at which the geometrical oversizing is omitted increases, the data processing time of dummy pattern generation improves significantly. As the result, using a sample data: 0.25 micrometer ASIC device wiring data with about 50 million figures, the processing time of as fast as about 1 hour 30 minutes has been achieved by the new system compared to about 2 hours and 10 minutes with the conventional system. This improvement of the processing time contributes to shorten TAT of mask data processing for ULSI.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have been developing a novolak-based chemically amplified positive resist for next generation photomask (below 0.18 micrometer) fabrication. This resist prevents footing profile by use of a hydrophilic polyphenol compound. We succeeded in improving PED and PCD stability by addition of an ion- dissociative compound. We obtained vertical resist profiles on a chromium-oxide (CrOx) substrate. With the resist, we could make a well defined 0.25 micrometer line-and-space patterns on a CrOx substrate at a dose of 4.0 uC/cm2. Under the ambient air (amines concentration: 4 ppb, humidity: 45%), the line width change was less than 10 nm when the delay time between EB exposure and post-exposure-baking was from 0 to 8 hours. Under the same condition, the line width change was less than 20 nm even when the post-coating delay (PCD) time was 7 days.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Looking for a CMS replacement resist is an urgent assignment for e-beam reticle fabrication, which enables us to maintain flexibility of reticle fabrications. CMS-EX series was discontinued in 1995, and its stored resin will be used up completely sooner in this year 1999. We then tried to find a replacement resist, and examined commercially available resist SEL-N1000, SEL-N1100 and ZEN4400. We studied their behavior to post-spin baking temperature respectively, in order to bring out their potential, by investigating isolated clear pattern fidelity in details as it was the most tough one to make by a negative-working resist. This paper describes our comparative evaluation results of commercially available negative-working resists to determine a CMS-EX-S replacement for e-beam reticle fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In polystyrene-based polymer resist process for photomask fabrication, a characteristics of its dissolution process has been investigated. The quality of the resist patterns strongly depended on polydispersivity of molecular weight in the polymer. (1) To explore this effect, the volume size of polymer, which was swelling in the developer, was determined by using the dynamic light scattering method. (2) It was found that the volume size did not only depend on the molecular weight of polymer but also the composition of developer. In this paper, the improvement of development process suited for photomask fabrication with polystyrene-based polymer is reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The plasma etching of Binary Cr films has become a true rate- limiting step in the recent production of high quality, 0.18 mm design rule Masks. The use of wet etch technology for Gate- level Poly definition Reticles is exceedingly difficult for 0.18 mm technologies and beyond; especially if the use of Optical Proximity Corrections (OPC's) becomes mainstream. The use of Plasma etch will significantly improve the Isolated/Dense Linearity as well as overall CD Uniformity. However, a recent issue is that the Cr dry etch parameters are sensitive to the overall Cr loading for the Mask pattern. It is well known that low Cr masks (e.g., Contact layer patterns) will require a different set of process conditions then more highly loaded parts (e.g., SRAM patterns). This study focuses on the resultant uniformity of Cr etch, both for the blanket etch of Cr as well as the etch of high and low load parts for CD Evaluation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Attenuated phase shift mask (PSM) have gained wide acceptance in the manufacturing environment during last few years with the advantage of improving DOF margin. However, etching attenuated phase shift film remains a challengeable process that affects several critical mask parameters including critical dimension, side slope and surface damage. In order to select the proper gas mixture condition, we will discuss the results of several experiments, utilizing CHF3 gas mixture, CF4/He/O2 gas mixture and SF6/He gas mixture chemistries. This paper reports the results of etching MoSi attenuated phase shifting materials using an inductively coupled plasma (ICP) system. Qz surface damage and trench for contact pattern is also reviewed as well as performance among those different gas mixture.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A small design address size on 1 X below 5 nm is one of the requirement for 0.18 micrometers masks for critical layers. To realize the small address size on raster scan electron beam systems, the improvement of throughput is a key issue. So, multipass gray (MPG) writing strategy was introduced for the 0.18 micrometers generation masks. Characteristics of MPG writing strategy are that (1) using larger writing address unit than the input data address and (2) gray level writing to modulate an electron beam (EB) dosage. In this paper, the evaluation of critical dimension (CD) controllability for the MPG writing strategy will be presented for a positive EB resist and a chemically amplified negative EB resist with a Cr dry etch process. Comparisons of such as corner rounding and throughput between MPG and conventional writing method is also reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The advanced 50 kV e-beam mask writing system HL-800M (Hitachi Co. Ltd.) was developed for 0.25 - 0.18 micrometer design-rule mask fabrication and widely applied. The combination of 50 kV e-beam writing system (EB) and Chemically Amplified Resist (CAR) is one of the solutions to improve accuracy for the fabrication of further high-end masks. The purpose of this study is to show the advantages of Critical Dimension (CD) accuracy in using the combination of 50 kV EB;HL-800M and positive-CAR; RE-5120P (Hitachi Chemical Co. Ltd.). In order to control CD, Proximity Effect Correction (PEC) is indispensable for the high acceleration voltage EB. Therefore, HL-800M has a high-speed-PEC system with hardware circuits. In this study, the PEC condition of HL-800M was optimized to improve CD accuracy. As a result, CD linearity of 18 nm was obtained in the pattern width from 0.7 micrometer to 3 micrometer. Besides, we evaluated the CD variation due to resist heating in using this combination. And, in the experiment of the resist heating effect, the CD variation was less than plus or minus 7 nm in the range of dosage ratio from 100% (11 (mu) C/cm2) to 500%. In other words, the CD variation due to resist heating is not so much serious problem for practical use in using the combination of the 50 kV EB and CAR.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discuss the resist profile, Resolution and the pattern fidelity of sub-micron feature in each PBS,ZEP-7000, CAR (chemical amplify resist) process that is reviewed the SEM (Scanning Electron Microscope) analysis. And it is to compare the lithographic performance of Raster scan e-beam writer (10 Kv) and Vector scan E-beam writer (50 Kv), variable shape Beam with different Electron beam resist as PBS, the most Popular Resist in many users, ZEP-7000 and CAR (Chemical amplify resist) which shows different process characteristic in Dose sensitivity, process latitude, stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Higher resolution and accuracy are required in e-beam lithography for reticle fabrication for coping with further advances in optical lithography. The trend is to use high acceleration voltage (50 kV) e-beam to improve spatial resolution. However, in the case of high acceleration e-beam writing, a drastic critical dimension (CD) change is caused by a strong proximity effect and a large resist heating effect. The proximity effect is caused by the increase in the back- scattering radius. The back-scattering radius was estimated by two independent observations of the CD variation of a monitor and the thickness variation of a partially developed resist. It is found to be ca. 15 nm. Using the shot time modulation as a proximity correction reduced the proximity effect to a small level: CD error due to the pattern density change remained within 10 nm. On the other hand, the resist heating effect is caused by the change in resist dissolution speed by the temperature rise of the resist. In reducing this effect, multi-pass writing is found to be effective. The range of the CD error of 2 micrometer lines-and-spaces in the writing field has been reduced from 22 nm to 6 nm by changing the writing from one pass to four passes for a conventional resist. Moreover, when a chemically amplified resist (CAR) is exposed through one-pass writing, the range of the CD error is found to be 8 nm. Therefore, the use of the CAR is effective in reducing the resist heating effect. Simulation software ProBEAM/3D and TEMPTATION were used to obtain three- dimensional resist profile and the transient temperature rise of the resist, respectively. Both provided results that agreed well with those by experiment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In electron beam writing with high accelerating voltage on photomask blanks, resist heating effect, which is the main factor of CD error in a localized area, is one of the serious problem that must be solved or ameliorated. In this study, the dependence of CD error on the types of resists and the dependence of CD error on the writing conditions of EB writer, were investigated. In this experiment, ZEP7000 (Nihon Zeon), a typical standard of non-chemically amplified resist for electron beam and two chemically amplified resists (CARs) were selected. As a result, the CD error caused by the resist heating effect for the CARs was smaller than that for ZEP7000. The efficiency of multi-pass writing for all of the evaluated resists was observed. The multi-pass writing was very effective in reducing the CD error for both ZEP7000 and the CARs, and especially so for ZEP7000. The dependence of the CD error caused by the resist heating effect on the various writing parameters was investigated using Ralf's model simulation, which is the calculation tool of the temperature rise during the exposure of electron beam including the heat diffusion equation. The CD error for the CARs was smaller and more stable than that for ZEP7000 in various writing conditions. Current density and shot size influenced CD error in sub-field strongly, however, settling time of each shot don't almost influence CD error in sub-field for ZEP7000. The fact that the results for CARs, which have high sensitivity, didn't depend on the current density and shot size indicates the ability to fabricate more accurate mask with higher throughput.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We tested the membrane longevity of the fluoropolymer using narrow-banded ArF Excimer Laser with spectro-width 0.8 pm. We compared the result with that of using free-run Laser which is not narrow-banded with spectro-width 450 pm. We obtained the following findings: (1) Under the air environment, the membrane longevity improves about 4 times by using narrow- banded Laser compared to free-run Laser. A transmission deterioration due to creation of the light absorption substances on the membrane is not occurred under the air environment either by free-run Laser or by narrow-banded Laser. (2) Under the nitrogen environment, creation of the light absorption substances is suppressed by using narrow- banded Laser, and this leads to the membrane longevity improvement. However, as compared to under the air environment, the membrane longevity is shortened by approximately 10 times.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed pellicle for ArF excimer laser lithography. Especially we have studied light resistivity against ArF excimer laser. We have selected fluoropolymer for ArF pellicle material because of its high transmission against deep uv light. Transmission of the pellicle film at wavelength (lambda) equals 193 nm is over 99.5% at peak value from sinusoidal transmission spectrum. Lifetime of our pellicle film against the ArF excimer laser irradiation is estimated for total exposure energy 70,000 J/cm2. Number of (phi) 300 mm wafer processed within the lifetime becomes 480,000 wafers from the total exposure energy 70,000 J/cm2. Degradation mechanism of pellicle film caused by the ArF excimer laser irradiation has been investigated. The degradation mechanism is interpreted as following. Pellicle film is first etched from its surface by the ArF excimer laser irradiation. This etching of the pellicle film causes the film thickness reduction and roughens the surface of the pellicle film. Thus the transmission is reduced. The pellicle film material, which is fluoropolymer, however, has not changed on chemical basis. Fluorination of the pellicle film material, i.e., fluoropolymer, has improved its light resistivity against ArF excimer laser. Further fluorination of pellicle film material is expected to improve more its light resistivity against ArF excimer laser.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It was demonstrated that the DOF obtained using the Att-PSM was 0.5 micrometer for 150 nm hole pattern, whereas that obtained using a binary mask was 0.2 micrometer. Durability of the film subjected to ArF laser irradiation is also investigated, and no significant change is observed in both phase and transmittance. It was indicated that the attenuated phase-shifting mask (Att-PSM) is effective and realistic in ArF lithography. Factors in CD control such as mask error factor (MEF), phase and transmittance are discussed. It is important to reduce MEF for hole pattern, since MEF in experiment was over 3 while it is desired to be less than 1.8. Att-PSM with higher transmittance and higher NA are effective to reduce MEF. On the other hand, MEF for line pattern is small enough for use in production. The control of phase and transmittance are also an important issue. It is estimated that phase error of 3 deg and transmittance error of 0.3% are required.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shift mask (PSM) with optical proximity effect correction (OPC) is the efficient tool of the resolution enhancement technology (RET). Particularly, it is commonly known that the attenuated PSM(att.PSM) has some merits in the memory device with a repeated cell patterns. But there are only a few results of what illumination systems affect the performance of the attPSM and how much the transmittance of the attPSM affects the lithography performance -- such as resolution and depth of focus. In this paper, we will present the optimized illumination systems for patterning with the attPSM, and the relationship between the transmittance of the attPSM and the lithography performance by using simulations and experiments. The resolution of KrF lithography with the Hi-T att.PSM can be extended to 130 nm through the simulation. We extend the resolution of KrF lithography with the Hi-T att.PSM to 150 nm through the experiment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Making attenuated phase shift masks for KrF excimer laser lithography is going to be on the mass production stage for the 0.18 micrometer critical hole and line layers. Here, key issues are phase controllability, critical dimension (CD) control on the mask and mask defect repair technique. To get a sufficient mask CD controllability, we use a chemically amplified negative EB resist with a 10 kV electron beam system. For a better phase mean to target control, we have applied Chrome-based attenuated phase shift blanks. The phase angle adjusted using post process of quartz etching after pattern defect inspection. To guarantee the CD error of repaired patterns on wafer, preliminary investigation of printability with repaired mask patterns is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Halftone (HT) masks are a well-accepted method of manufacturing Phase Shift Masks (PSM). Recently, investigations of the suitability of HT masks for manufacturing have shifted from contact layers to gate devices. A regular supply of MoSiON-shifter HT mask blanks was obtained for this study. The MEBES 4500 pattern generator has been used for the electron beam writing of 250 nm design rule masks. However, this writing tool has sufficient performance to use in the next generation of masks. We have investigated the fabrication of MoSiON-HT mask using MEBES 4500. In general, because MoSiON is a very low conducting material, there are issues with pattern placement errors caused by charging. This charging effect can be reduced by utilizing an electrical conducting polymer (aquaSAVE) coated on the ZEP resist surface. The resulting registration error is corrected to the same level as that of conventional chrome blanks. Moreover we manufactured KrF-HT masks with contact-type patterns using HT blanks which were coated with electrical conducing polymer on the resist surface. From the results, we determined that we could manufacture production masks without any serious issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel manufacturable and cost-effective DUV PSM process is developed and implemented in .18 micrometer and .15 micrometer PSM technology. Our novel process requires one resist coating and E-Beam exposure, hence lower cost and mis-alignment-free in chromium layer and shifter layer can be realized. The details of E-Beam lithographic process and core process are further discussed in this paper. The CD proximity is around 13 nm (the duty ratio of contact arrays is from 1:1 to 1:5) and linearity results are in 30 nm (contact size is from 0.8 micrometer to 1.2 micrometer on mask). Besides, the mechanisms of the defect sources are identified and defect counts are under controllable.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We compared the topography effect of two types of alternating PSMs; single-trench type with side etching and dual-trench type. The side etching value and dual-trench depth were adjust to give same linewidth in 0 degree and 180 degree regions for 0.2 micrometer L/S pattern. Several test patterns having different width and length were formed on these alternating PSMs. These two PSMs were evaluated by using an x4, 0.6 NA, KrF exposure tool. For longer patterns (similar to L/S pattern), pattern size differences were very small; the mask topography effect was negligible. However, pattern size differences of shorter patterns (similar to window pattern) were large with both Alt PSMs. Therefore, optimization of the side etching value or the trench depth is required for each mask pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For the next generation reticle fabrication, one of the key technologies is a high accelerating voltage EB writing system in combination with CAR (Chemically Amplified Resist) process. So we have evaluated an advanced electron beam mask-writing system (EBM-210VS/Toshiba Machine, Ltd) using EP002 resist (Tokyo Ohka, Ltd) and RE514OP (Hitachi Chemical, Ltd). The system adopts accelerating voltage of 50 kV, variable shaped beam, vector scanning, continuous moving stage and 230 mm mask capability. In the results of the exposure evaluations, using 4 pass writing strategy, global positioning accuracy of 23 nm (3 (sigma) ), local positioning accuracy of 16 nm (3 (sigma) ), global CD accuracy of 11 nm (3 (sigma) ), local CD accuracy of 14.5 nm (3 (sigma) ), L/S CD linearity of 23 nm and stitching accuracy of 20 nm were obtained. These results are satisfactory for our first target.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Meeting the latest requirements of aggressive users for advanced masks for optical lithography will be difficult. In addition, improving the productivity and throughput of advanced masks with high-density pattern data is necessary. To overcome these hurdles, Toshiba and Toshiba Machine have developed a new advanced mask writer, the EX-11, shown in Figure 1. The EX-11 takes measures against airborne contamination before drawing is started. It also employs a standard mechanical interface (SMIF) based on the concept of local cleaning technology. This paper describes the design concept of the new mask blank handling system for the EX-11, and the efficiency of these measures was confirmed by the experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reticles are used for printing IC patterns onto semiconductor wafers. In photolithography processing, defects in the images are converted to wafers after each exposure. In unfortunate cases, a large batch of wafers might be processed before the defects are detected. Multiple and repeating pattern defects on wafers have the biggest impact on the yield. Random defects can be generated during shipping, handling, or storage, often after inspection, as a consequence of particle deposition to charged reticles or damage of chrome lines due to Electrostatic Discharge (ESD) events. Killer particles and ESD-induced damage can lead to classic failures such as short circuits or opens. As the critical dimensions shrink to deep submicron regime, the susceptibility of reticle patterns to contaminants increases. In order to meet the requirements of next-generation lithography, a new version of the Asyst Single Reticle Pod (SRP) is developed. Reticles are encapsulated in the sealed pod to avoid particulate contamination in storage, as well as in manual or automatic transport. Constructed exclusively with static dissipative materials, the SRP provides effective protection for reticles from ESD-induced damage. Airborne Molecular Contamination (AMC) is minimized by carefully selecting the materials. In particular, the SRP is compatible with chemically amplified resists and does not cause problems such as optics hazing that could surface printable defects. Design principles and performance evaluations of the SRP are presented in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In proximity X-ray lithography at the feasible gap size of approximately 10 micrometer, using attenuated phase-shift masks is the most effective method of achieving high resolution pattern transfer at the feature size of sub-100 nm. In this study, we have investigated the absorption and the phase-shift controllability of X-ray masks with various absorber materials by simulation and found that the phase- shift mask structure with Cu absorber is one of the best choices for proximity X-ray lithography using synchrotron radiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Various Ta-based amorphous alloys are used as x-ray absorber materials due to their good stress controllability and stability. TaGe and TaReGe are especially suitable because of their high x-ray absorption coefficient. However, While TaGe films are more easily patterned by dry-etching than TaReGe films, their stress is more difficult to control. To improve the stress control in TaGe films, we investigated the stress under various deposition conditions. We found we could control the stress of TaGe to an adequate compressive stress with high repeatability by adjusting the DC power and working gas pressure. The stress characteristics of Ta9Ge and Ta4Ge were similar, but the stress uniformity of Ta4Ge was better than that of Ta9Ge by about 5 MPa. We could easily reduce the stress by annealing, which simultaneously improved the stress uniformity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to specify the sensitivity of the next generation inspection system, we investigated the printability of x-ray mask defects by using the simulator (Toolset) developed by University of Wisconsin. The defect size replicated in the resist was simulated for various exposure conditions such as exposure gap, mask contrast, and beam blur. The critical dimension (CD) errors due to mask defects were also calculated for the mask defect size, opaque and clear defects, and the mask pattern configuration. Based on these results, the critical size for 100 nm feature line-and-space (L&S) patterns was discussed and the sensitivity of defect inspection system for 100 nm feature L&S patterns is estimated to be at most 40 nm as the critical defect sizes inducing 10 nm CD error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The fabrication of x-ray mask is an important step in the LIGA process. Thick absorber patterns for working masks to produce microstructures with high aspect ratio are desired to skip the intermediate mask fabrication. This article illustrates three x-ray mask-making methods applied for LIGA process, special for working masks. Three mask-making methods include mechanically machined mask, micro-EDM machining mask, and E- beam written mask. The mechanically machined mask method is the simplest and fastest among three methods, but it has geometry limitations and material hardness restrictions. Gold absorber and graphite membrane are composed to be a working mask, absorber patterns with dimensional bias are up to 5 micrometer in a 150 micrometer feature size. Micro-EDM machining mask method has the possibility to achieve the accuracy requirement in submicron range if its stage control is improved. The experiments showed that 2 micrometer error existed from the designed size. The E-beam written mask is the best method now available to accomplish the dimensional requirements in submicron range, its dimensional accuracy is within 0.5 micrometer after exposure. All three masks are successful to produce at least 500 micrometer thick PMMA microstructures after exposure, it also means that at least 500 micrometer thick metallic microstructures has been made by using an electroforming process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A pattern defect inspection system (8MD93R) that features a new concept has been developed. The performance of the design rule check function (DRCF) which this inspection system features was evaluated using a test reticle with a special program defect. As a result, it was confirmed that DRCF made it possible to put pattern inspection that is free from false defects into practical use. Also, we determined the relationship between the image processing accuracy required for DRCF and the reticle defect detection performance. It was confirmed that DRCF could also be used to detect general reticle pattern defects and make an overlay check for a reticle and a reticle of different layer pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Defect specifications were studied for 0.200 and 0.175 micrometer rule memory cell patterns. Furthermore, we evaluated whether current inspection systems were capable of satisfying the defect specifications. For our evaluation, test masks with programmed defects in 0.200 and 0.175 micrometer rule memory cell patterns were fabricated using a variable shaped electron beam writing system and reactive ion etching. Recently, 0.250 micrometer rule devices have entered the mass- production phase using the defect specification based on the SIA roadmap. Accordingly, we assumed that the ratio of CD variations, corresponding to the defect size based on the SIA roadmap, to nominal sizes has no influence upon action of devices for 0.250 micrometer rule devices. Then, we also assumed that the ratio of CD variations has no influence upon action of not only 0.250 micrometer rule devices but also 0.200 and 0.175 micrometer rule devices. For 0.200 and 0.175 micrometer rule memory cell patterns, defect specifications were obtained by lithography simulations and exposure experiments for the criteria of the ratio of CD variations based on the assumption. We also evaluated whether current inspection systems were capable of satisfying the defect specifications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reticle inspection has been critically important for the sub- quarter micron generation IC industry. A good mask inspection tool has to provide enough sensitivity to capture all types of printable defects while avoids false alarms that generate production issues. It is important to develop a standard evaluation method to test the capability of different inspection machines. In this paper, we will discuss our standard evaluation method for new reticle inspection systems. A standard test pattern has been designed. Test results on a KLA 303 system will be displayed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As advanced photolithography moves the printable feature size from 0.25 micrometer to 0.18 micrometer various mask types are being used to improve resolution. One example is the attenuated phase shift MoSiON mask. This in turn requires the development of new mask repair techniques that provide acceptable levels of transmission and minimize phase error. In this study we present the results of opaque defect repairs on MoSiON DUV masks, utilizing a new focused ion beam (FIB) process. Opaque defects were repaired by scanning the defect area with a gallium ion beam in the presence of an etchant gas. Dose enhancement on the order of 20x was achieved, relative non-gas enhanced sputtering on the MoSiON absorber material to a non gas enhanced gas enhanced sputtering, resulting in repaired regions with excellent transmission properties, and minimal quartz damage (riverbed). The optimization of the FIB repair process is discussed and the results of post repair characterization, utilizing AIMS and AFM are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The lithography world is in transition from I-line to DUV for 0.25 micrometer and below technologies. The demand on reticle manufacturers to produce less than 30 nm CD uniformity with feature sizes of sub-micron across a full scanner field have put new challenges on inspection systems: not only do they need to find traditional Chrome type defects like extensions, intrusions and pinholes, they now need to shift a major portion of their detection schemes and algorithms on linewidth errors, including mis-sized, mis-shaped or mis-placed features. Conventional rules of 1/4 of linesize equals minimum defect specification are no longer applicable. Minimum line width variations, for instance, can have a detrimental impact on device performance. Reticle qualification needs to have an additional dimension added: on-line defect printability prediction. The ability to characterize the impact of a defect on a given feature, especially in certain variations of OPC design, will become an essential tool for mask makers and fabs alike to evaluate defects and their printability on critical device performance of a particular reticle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With continuously shrinking device structure sizes the photomask suppliers are facing increasing linewidth metrology control requirements. Therefore it is becoming more and more important for equipment suppliers to provide mask metrology tools capable of measuring 0.5 micrometer and smaller critical dimension (CD) features with high accuracy and repeatability, while offering high throughput for systems to be used in the production environment. CD measurement results obtained on the Leica LWM 250UV will be presented showing not only the considerably improved resolution power and measurement accuracy but also an extension of the linearity range to smaller feature sizes using UV light of 365 nm (I-line) instead of white light for illumination in transmitted mode. Results obtained after a system calibration against SEM measurement data show a further extension of the linearity regime. The higher lateral resolution of I-line compared to white light measurements also leads to a CD range value reduction for long term repeatability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new exposure technology called IDEAL (Innovative Double Exposure by Advanced Lithography) which realizes k1 equals 0.3 optical lithography is introduced. In IDEAL exposure method, rough pattern mask and fine pattern mask are used. The rough pattern mask contributes to expand the degree of freedom in two-dimensional patterning and the fine pattern mask contributes to higher resolution and focus DOF enhancement. As an actual example, 120 nm gate array is formed using KrF 0.63 NA stepper. It has been confirmed that the double exposure method is effective in the pattern formation of irregularly arranged contact hole arrays of 150 nm. Furthermore, it is also shown that double exposure method is effective in the reduction of mask error enhancement factors (MEF).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Experiments to print 140 nm lines and 140 nm contact holes were optimized through simulation on an 18% transmitting phase shift mask for KrF lithography. A transmittance of 18% is to improve aerial image log slope through focus for the lines and contacts. The 140 nm lines for all three pitches have a maximum depth of focus of at least 0.90 micrometer. The exposure latitude of the 140 nm lines is over 7.5% for all pitches to maintain 0.6 micrometer depth of focus. Experiments show that the 140 nm and 160 nm contact holes resolve without side lobe printing through focus and through exposure. Reticle SEMs verify that a ternary contact hole mask is capable of manufacture. By adding both opaque and clear sub-resolution assist features, the experiments show contacts as small as 140 nm resolve with 0.50 micrometer focus latitude with 10% exposure latitude through pitch. Cross sectional SEMs verify that 140 nm contact holes are open through pitch. Simulations to quantify the MEF show that the MEF for attenuating PSM decreases as the transmittance of the attenuating material increases. The MEF for a 30% transmitting attenuating material is 1.90 for a 150 nm feature and the MEF for an alternating PSM is 1.42 for the same 150 nm feature. Trends in aerial image CD variation due to aberrations were simulated for focal plane deviation, x coma, astigmatism and spherical aberrations. The simulations of alternating PSM imaged with (sigma) of 0.35 suggest that alternating PSM have the least CD variation due to focal plane deviation, astigmatism, and coma for dense pitches. The CD variation due to coma is comparable to 33% transmittance attenuating PSM for isolated lines. Furthermore alternating PSM is more susceptible for CD placement error due to coma compared to attenuating PSM. The 33% transmittance attenuating PSM has the least CD variation due to spherical aberration in comparison to BIM and alternating PSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the semiconductor roadmap continues to require imaging of smaller features on wafers, we continue to explore new approaches in OPC strategies to enhance existing technology. Advanced reticle design, intended for printing sub-wavelength features, requires the support of very fine-increment biases on semi-densely-pitched lines, where the CD correction requires only a fraction of the spot size of an e-beam system. Halftone biasing, a new OPC strategy, has been proposed to support these biases on a raster-scan e-beam system without the need for a reduced address unit and the consequent write time penalty. The manufacturability and inspectability of halftone-biased lines are explored, using an OPC characterization reticle. Pattern fidelity is examined using both optical and SEM tools. Printed DUV resist line edge profiles are compared for both halftone and non-halftone feature edges. Halftone biasing was applied to an SRAM-type simulation reticle, to examine its impact on data volume, write time reduction, and printing performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper examines, from a modeling perspective, the effects of spot size, data address and raster writing strategy on lithographic performance. Both PBS, the current U.S. standard for mask making, and ZEP 7000, a new, much higher contrast material, will be examined for their impact on lithographic quality. Simulation is used to demonstrate the differences between resists, writing strategies and their implementation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The critical dimension (CD) requirements of the SIA roadmap require continued improvements in pattern generation (PG) tool technology. This includes electron-beam (e-beam) delivery, resist and process, writing strategy, and overall system throughput. In this paper, we discuss these interrelated topics and evaluate their impacts on the CD control, linearity, and uniformity performance of PG tools. By means of Monte Carlo simulations and experimental comparisons, we evaluate various parameters of e-beam delivery systems, including beam energy, spot size, writing strategy, and throughput. We also perform a thorough evaluation of mask heating effects due to e-beam exposure. Finally, we perform comparative studies of various resist and process combinations. The totality of our investigations allows us to conclude that a 50 kV raster scan e-beam system, using a high- contrast, high-sensitivity resist, such as SPR 700, with GHOST proximity effect correction (PEC), can meet the CD control, linearity, and uniformity requirements of the 130 nm technology node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The continued device scaling in the semiconductor industry has resulted in an acceleration of the respective technology roadmaps worldwide, which in turn is reflected in the constant pull-in of the lithography roadmaps. From the lithography toolmaker point of view this situation had to be answered with a consistent integrated equipment development roadmap. The general toolkit philosophy of the Leica ZBA300 family of E- beam systems incorporates such features and results in a harmonization of the development and usage of e-beam tools over a wide range of device generations. The theoretical advantages of shaped beam systems over raster scan in terms of edge definition as well as in terms of writing times become especially obvious when advanced masks with the emerging reticle enhancements like OPC are taken into account. It is the successful application of such techniques that will make the production of reticles for the 0.18 micron generation and below a commercially feasible enterprise.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background exposure of a resist caused by scattered electrons (the fogging effect) degrades critical dimension accuracy when the pattern density changes over the specimen. We measured the fogging effect in an electron beam optical column. In order to reduce the fogging effect, a scattered electron absorber plate having a converging holes structure was attached to the lower surface of the objective lens. When the most severe pattern for the fogging effect was applied, we achieved the size variation caused by the fogging effect less than 8 nm. The converging holes effectively trap the scattered electrons and greatly reduce the fogging effect.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In 1992, we began development of SiC membranes for X-ray masks using a prototype LPCVD system. A production type LPCVD system had been newly designed to provide significant improvements in film uniformity and achieved high productivity. SiC films of 2 micrometer in thickness produced by the new system showed uniform thickness distribution of plus or minus 0.6% in an area of 50 mm in diameter, and uniform stress of plus or minus 1% in an area of 25 mm square. SiC films in the suitable stress range of 100 to 350 MPa in tensile, with excellent thickness repeatability of plus or minus 0.06 micrometer, have been produced by the optimized process. SiC membrane of 3 micrometer in thickness, which are more effective for obtaining precise masks, showed uniformity similar to the membrane of 2 micrometer in thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Cell projection e-Beam lithography is one of the technology to increase the throughput capability, which is the demerit of conventional e-Beam lithography. In cell projection e-Beam lithography, Stencil mask fabrication is one of the most essential issue. Particularly, in fabricating stencil mask, both dry etching technique for forming aperture pattern and backside wet etching technique for forming silicon membrane are the most important technologies. With improvements in the techniques, Stencil mask that has low electric resistance compared with that made of SOI (Silicon-on-Insulator) wafer and vertical cross sectional profile is fabricated by the use of a (100) oriented silicon wafer. In addition, A device pattern of 0.13 micrometer which is sufficient for fabricating a 1G DRAM was delineated on wafer using this stencil mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new reticle inspection system with laser UV imaging for contamination inspection has been developed to detect contamination defects on advanced reticles for DUV steppers and low kl lithography. The extension to UV wavelength improves the resolution of the imaging optics while maintaining compatibility with current STARlight inspection algorithms, thus improving both sensitivity and minimum linewidth capability. This enables inspection of reticles for 4X lithography design rules at 0.18 micrometer, 0.15 micrometer and 0.13 micrometer. The system also is capable of inspecting Tri-Tone PSM and reticles with OPC assist bars. Initial simulations were performed to optimize performance of optical components and defect detection algorithms of the UV system. The simulations identified that with no changes to optics and algorithms, UV wavelength contamination inspection was more sensitive to defects on clear and on chrome surfaces, however, showed different sensitivity to defects on a quartz/chrome edge. This UV edge effect (UEE) was investigated in depth. From these simulations additional defect detection algorithms have been implemented to optimize transmission defect and on edge defect detection. Using both PSL defect test masks and real production reticles, initial observations of the nature and the frequency of defects detected with this 180 nm contamination sensitivity instrument will be presented. Total number of defects captured on the UV system is shown to be as much as two times greater than non-UV systems. In one case 169 more defects were found on clear areas of the pattern using the UV system. The UV contamination inspection system has recently been evaluated with production reticles with dense geometry, OPC, and Tri-tone phase shift. A transmission defect causing a bridge on wafer in a very dense geometry, was detected with the UV contamination inspection system. This same defect was not found on a non-UV system. This micro- fissure defect causes more scattering UV wavelength compared to longer wavelength. The linewidth capability of the system was verified in part with OPC reticles. Scatter bars as small as 0.25 micrometer were inspected with the UV wavelength. Linewidth capability was also verified on a Tri-tone reticle using the smallest pixel available for inspection. With more defects to review, the UV system software estimates the printability of defects so defect disposition is faster and more accurate. Comparisons with non-UV systems illustrate the advantage of using shorter wavelengths for contamination inspection of DUV reticles. The quality of defect review images has a direct impact on the effectiveness and ease-of- use of reticle inspections systems. The smaller review pixel with the system yields high quality UV transmitted and reflected light defect images.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In recent years a manufacturable inspection recipe has been limited by the number of nuisance defects instead of the true sensitivity capability of the inspection tool for the top of the line products. In general, the nuisance defects are a result from either sub-resolution mask design or non- uniformity issue of mask pattern fidelity. Pattern fidelity here refers to corner rounding, line edge roughness and localized CD variation. Pattern fidelity plays an important role in inspectability. This study quantitatively evaluates the impact of pattern fidelity on mask inspectability. The first phase of this study, which is covered in this paper, will establish a correlation between KLA measured run-time- bias (RTB) and SEM/optical measured line width and corner rounding. The second phase of this study will focus on the impact of pattern fidelity resulting from different mask processes on inspectability in terms of nuisance defects. This paper will cover the initial results of the second phase study, including the comparison among different resist and different etch processes. The purpose of the study is to eventually establish pattern fidelity requirements for a given defect specification to ensure a manufacturable inspection process. As a result, one can use nuisance defect count as a mask process monitor.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-wavelength or Super-resolution lithography requires us to review defects after reticle inspection, be it at the mask house or at incoming inspection, with a completely new approach. With the advent of UV reticle inspection for the 0.25 micrometer and below regime, the lithography engineer in a wafer fab will ask for much more detailed classification and characterization of a reticle. While reticles are supposedly 100% defect free when they arrive at the customer, a detailed analysis of any potential printable defect is done by fab engineers as well as many mask engineers. A time consuming and QUALITATIVE analysis is often performed by AIMS metrology, however, no real QUANTITATIVE estimate of the 'printability' can be given. This paper discusses the solution of using fast aerial image analysis of defect information gathered by an advanced UV inspection system, with accurate printability prediction. The described methodology is on-line, real-time, and can be performed in an automatic mode with any inspection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An investigation was performed to determine the printability and defect detectability of reticle OPC defects for the 180 nm technology node. Two different OPC approaches were investigated, one based upon assist bar/serif features and the other based upon serif/jog features. Several critical defects were studied, including chrome extension defects on assist bars and pindots between assist bars and primary features. Wafers were printed using a 0.6 NA, DUV stepper and resulting wafer resist images measured by CD SEM. Edge defects as small as 200 nm cause greater than 10% change in local linewidth, 400 nm defects cause catastrophic wafer defects, and chrome spot with 260 nm diameter can shorten gap between two line ends by 10%. CD defects less than 75 nm on the reticle were found to have a significant impact on the process window. The programmed defect test reticles used to print the wafers were inspected on KLA-Tencor reticle inspection systems and the defect sensitivity capture curves plotted. Defect capture rates indicated that smaller than 200 nm edge defects and 125 nm CD defects are detected. Defect printability simulations were performed using database and aerial images gathered from an automated defect inspection system and compared to the experimental wafer results. The purpose of this test is to determine the feasibility of performing printability predictions in a mask production environment. A correlation between the simulations and the wafer results are shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the reduction of feature size, until probably 0.13 micrometer generations, it is going to be patterned using a DUV illumination source at 248 nm. In these conditions, device development and manufacturing are occurring at ever decreasing k1 factor [k1 equals CD(NA/(lambda) )]. In this low-k1 region, degradation of image contrast brings about nonlinear amplification of mask CD error during image transferring on wafer. This phenomenon (ER; Error Ratio) is severely occurred when critical dimension is smaller than 0.5((lambda) /NA). In this paper, we investigated this phenomena with various condition such as critical dimension, density of L/S, mask type, and phase shift mask. Error ratio at defocused condition is also investigated. From this viewpoint, we discussed effect of mask CD error on wafer CD error and presented newly revised CD control criteria in each device generation. In addition, several strategy which should be considered for reducing error ratio and a photomask process technology for making next generation photomask satisfying high resolution and good CD control requirements has been described in view of low k1 lithography era.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is difficult to use the normal way, in which whether a defect is tolerable or not is judged by measuring its size, to deal with KrF half-tone reticles, and the judgement is often vague. This is due to complicated shapes of defects and strict quality demands on KrF halftone reticles. To solve the problem, the Defect Area Ratio method, in which the ratio between the defect area and the normal area is used to assess the defect, is proposed and the corresponding investigations are made. As the result of our studies, the specifications on reticle defects deduced from CD variation budget on wafer have been presented. However, the specifications deduced are too tight to be met with current reticle inspection machines.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The requirements of the semiconductor industry, as evidenced by the SIA roadmap, are driving the reticle development cycle at an ever-increasing rate. With the current trends towards employing optical proximity corrections (OPC) to features to improve image transfer to the wafer, as well as development of phase shift masks (PSM), both targeting to extend the range of optical lithography, even more emphasis is being placed on photomask quality. Along with enhanced performance pattern generation and inspection tools, metrology tools capabilities need to be up to the task. This paper chronicles the development and optimization of a CD-SEM (Critical Dimension - - Scanning Electron Microscope) as a metrology tool for mask production. Accuracy, linearity and precision were investigated with the emphasis on improving both dynamic and static precision. Algorithm evaluation was focused at improving the confidence in the measurement, and its correlation with the on-wafer CD. Accuracy was compared to pitch values written by an advanced e-beam lithography tool as well as an AFM. New algorithms were developed to address the growing requirement in two-dimensional metrology, as well as pattern fidelity issues that are facing the mask industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Focused-ion beam (FIB) repair technique is one of the important technologies for quality and productivity of attenuated phase shift mask (HT-PSM),especially for KrF lithography. Mainly, accurate and low damage technique are necessary for HT-PSM repair. Such requirements are satisfied with the improvement of gas-assisted etching (GAE) technique for FIB. New SIR-3000 made by Seiko Instruments has been developed for applying MoSi material etching. Using GAE technique, the transmittance evaluated from AIMS at repaired area was more than 99% (i-line), and 96 - 97% (KrF) without post process (Qz reference: 100%). The results indicate the focused-ion beam repair is applicable without post process to MoSi-based HT-PSM for KrF lithography. This paper report the characterization results of opaque defect repair on MoSi-based HT-PSM using new SIR-3000.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As OPC becomes more widely used, there is great concern about additional time and costs that are incurred for both data manipulation and reticle manufacturing. In this paper, we discuss the optimal practices for the insertion of OPC into the standard IC pattern data generation, and find that the lowest risk point of insertion with the highest assurance of data integrity occurs when OPC is done as part of the physical verification process. In addition, we also examine the impact of OPC on reticle inspection practices, and find that, by following a few simple geometric guidelines, aggressive OPC can be implemented with no impact on reticle inspectability, significantly reducing the barriers to adoption.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As feature sizes approaches the resolution limit of optics (K1 factors less than 0.5) image quality rapidly degrades. The benefits of stepper reduction are reduced and unavoidable mask errors are amplified on silicon. This phenomenon is known as Mask Error Enhancement Factor (MEEF). MEEF puts severe constraints on the mask manufacturing process. Optical Proximity Correction (OPC) for sub-150 nm designs becomes a problem. Use of strong (alternating) phase shifting masks (PSM), however, greatly reduces Mask Error Factor (MEF) and inverts MEEF into Mask Error Attenuation Factor (MEAF). Application of strong PSM enables OPC for the next IC generation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.