Paper
4 November 2003 Thin film 193nm TNK measurement using multi-domain genetic algorithm (MDGA) with a combination of beam profile reflectometry (BPR), absolute ellipsometry (AE), and spectroscopic ellipsometry (SE)
Author Affiliations +
Abstract
In the l30nm process, controlling the critical dimension uniformity (CDU) within a wafer is crucial. In order to minimize CDU within a wafer, CD swing amplitude against film thickness must be minimized. It is observed that the CD swing amplitude is closely related to the reflectivity of the anti-reflective coating (ARC) layer under the resist. The suppressed reflectivity (ideally zero) from the ARC layer and underlying layers can be achieved by properly selecting a combination of thickness (T), refractive index (N) and extinction coefficient (K) of the ARC layer. Accurate and repeatable measurements of T, N, and K at a wavelength of 193nm play a key role in this film optimization process. In this paper we propose a new method to simultaneously measure T, N, and K for various silicon oxynitride (SION) and organic ARC films. The new methodology uses a multi-domain genetic algorithm (MDGA) to search for global fitting residual minima for SION and organic ARC films using 21-point line-scan data sets logged on each wafer with a combination of BPR, AE and SE measurement technologies. The MDGA-obtained dispersion curves form constituents of a Bruggeman effective medium approximation (EMA) model. By using this unique metrology tool combination, swing amplitudes can be reduced to less than 5nm. The measurement variations of N&K at 193nm from machine to machine on SION and organic ARC films can be minimized to as small as 0.002. We point out that there are no 193nm N&K standards in the world. In this work, we used a set of Therma-Wave standards with thicknesses traceable to NIST standards. We also used the published thermal oxide and crystalline Si dielectric constants (i.e., N&Ks) as our standards for dispersion. The matching of SE (as well as the other technologies) of each tool is ensured through calibrations of SE to the same set of standards. Finally, a recipe using the combination of BPR, AE, and SE technologies allows one to deal with the large TNK variations encountered in the production environment without losing the sensitivity to measure TNK precisely and accurately. On the contrary, a TNK recipe with SE technology only may yield reasonable precision results but would lose the sensitivity to the thin film TNK variation within the wafer and among the wafers.
© (2003) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jon L. Opsal, Jingmin Leng, Chih-Ming Ke, Pei-Hung Chen, Jeng-Horng Chen, and Yao-Ching Ku "Thin film 193nm TNK measurement using multi-domain genetic algorithm (MDGA) with a combination of beam profile reflectometry (BPR), absolute ellipsometry (AE), and spectroscopic ellipsometry (SE)", Proc. SPIE 5188, Advanced Characterization Techniques for Optics, Semiconductors, and Nanotechnologies, (4 November 2003); https://doi.org/10.1117/12.507466
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Oxides

Semiconducting wafers

Calibration

Thin films

Standards development

Genetic algorithms

Silicon

Back to Top