Paper
19 January 2005 Self-assembled ultra-low-k porous silica films for 45-nm technology node
Takamaro Kikkawa, Y. Oku, K. Kohmura, N. Fujii, H. Tanaka, A. Ishikawa, H. Matsuo, Y. Sonoda, H. Miyoshi, T. Goto, N. Hata, Y. Seino, S. Takada, T. Yoshino, K. Kinoshita
Author Affiliations +
Proceedings Volume 5592, Nanofabrication: Technologies, Devices, and Applications; (2005) https://doi.org/10.1117/12.571092
Event: Optics East, 2004, Philadelphia, Pennsylvania, United States
Abstract
In order to develop ultra-low-k interlayer dielectric films for ULSIs in 45 nm technology generation, a self-assembly technology was introduced to form porous silica films. The precursor solution for the self-assembly contained cationic surfactant such as alkyltrimethylammonium chloride (ATMACl) and TEOS in ethanol diluted with water. It was spin-coated on a Si wafer so that 2-dimentional hexagonal configuration of self-assembled cylindrical micelles was formed on the wafer, resulting in formation of the 2-dimensional hexagonal structure of the cylindrical tubes of silica after calcination. The pore diameter and the resulting dielectric constant can be controlled by the number of carbon atoms in the alkyl chain of ATMACl surfactant. A nonionic surfactant such as polyethylene oxide (PEO)-polypropylene oxide (PPO)-PEO triblock copolymer was also used to form disordered porous silica as well as periodic porous silica films. The mechanical properties of the self-assembled porous silica film were reinforced without changing the dielectric constant by introducing tetramethyl-cyclo-tetra-siloxane (TMCTS) treatment. Significant enhancement of elastic modulus (E) and hardness (H) was achieved by TMCTS treatment at 350°C. The effect of TMCTS treatment on the reinforcement of disordered porous silica was demonstrated. Another important property of porous low-k film is adhesion. TMCTS treatment increased the adhesion of the porous low-k silica film at the Si interface significantly. High modulus porous silica films were formed and E of 8 GPa and k of 2.07 were achieved simultaneously. Cu/low-k damascene structure for 45-nm BEOL technology was demonstrated successfully.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Takamaro Kikkawa, Y. Oku, K. Kohmura, N. Fujii, H. Tanaka, A. Ishikawa, H. Matsuo, Y. Sonoda, H. Miyoshi, T. Goto, N. Hata, Y. Seino, S. Takada, T. Yoshino, and K. Kinoshita "Self-assembled ultra-low-k porous silica films for 45-nm technology node", Proc. SPIE 5592, Nanofabrication: Technologies, Devices, and Applications, (19 January 2005); https://doi.org/10.1117/12.571092
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Silica

Dielectrics

Copper

Oxides

Silicon

Semiconducting wafers

Head-mounted displays

Back to Top