Paper
29 March 2010 Negative-tone chemically amplified molecular resist based on novel fullerene derivative for nanolithography
Author Affiliations +
Abstract
We developed negative-tone chemically amplified molecular resists based on a fullerene derivative and evaluated the lithographic performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives as a negative-type EB resist with high resolution and high etching durability. The etching rate of fullerene derivatives is lower than that of conventional resist materials such as PHS, ZEP530 and UVIII. Although a dose of 800 μC/cm2 is required, 60 nm line resolution and aspect ratio five was obtained in best of four kinds of fullerene derivative films. Also, the effect of acid generators to a fullerene derivative resists were investigated. Fullerene derivative resists are a promising candidate for nanolithography because it is essential for next generation lithography to have high aspect ratio related collapse of high resolution pattern and high etching durability in ultra-thin films.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroki Yamamoto, Takahiro Kozawa, Seiichi Tagawa, Tomoyuki Ando, Katsumi Ohmori, Mitsuru Sato, and Junichi Onodera "Negative-tone chemically amplified molecular resist based on novel fullerene derivative for nanolithography", Proc. SPIE 7639, Advances in Resist Materials and Processing Technology XXVII, 76390U (29 March 2010); https://doi.org/10.1117/12.846391
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Fullerenes

Etching

Nanolithography

Electron beams

Lithography

Polymers

Reactive ion etching

Back to Top