Paper
21 March 2012 Feasibility study of optical/e-beam complementary lithography
Author Affiliations +
Abstract
Using electron beam direct write (EBDW) as a complementary approach together with standard optical lithography at 193nm or EUV wavelength has been proposed only lately and might be a reasonable solution for low volume CMOS manufacturing and special applications as well as design rule restrictions. Here, the high throughput of the optical litho can be combined with the high resolution and the high flexibility of the e-beam by using a mix & match approach (Litho- Etch-Litho-Etch, LELE). Complementary Lithography is mainly driven by special design requirements for unidirectional (1-D gridded) Manhattan type design layouts that enable scaling of advanced logic chips. This requires significant data prep efforts such as layout splitting. In this paper we will show recent results of Complementary Lithography using 193nm immersion generated 50nm lines/space pattern addressing the 32nm logic technology node that were cut with electron beam direct write. Regular lines and space arrays were patterned at GLOBALFOUNDRIES Dresden and have been cut in predefined areas using a VISTEC SB3050DW e-beam direct writer (50KV Variable Shaped Beam) at Fraunhofer Center Nanoelectronic Technologies (CNT), Dresden, as well as on the PML2 tool at IMS Nanofabrication, Vienna. Two types of e-beam resists were used for the cut exposure. Integration issues as well as overlay requirements and performance improvements necessary for this mix & match approach will be discussed.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Christoph Hohle, Kang-Hoon Choi, Martin Freitag, Manuela Gutsch, Philipp Jaschinsky, Frank Kahlenberg, Christof Klein, Jan Klikovits, Jan Paul, Matthias Rudolph, and Xaver Thrun "Feasibility study of optical/e-beam complementary lithography", Proc. SPIE 8323, Alternative Lithographic Technologies IV, 83232C (21 March 2012); https://doi.org/10.1117/12.916386
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Lithography

Electron beam lithography

Silicon

Photomasks

Semiconducting wafers

193nm lithography

RELATED CONTENT

Advanced e beam lithography system JBX 9000MV for 180 nm...
Proceedings of SPIE (December 30 1999)
PML2 the maskless multibeam solution for the 22nm node...
Proceedings of SPIE (March 18 2009)
Cell projection electron-beam lithography
Proceedings of SPIE (May 13 1994)
E-beam direct write (EBDW) as complementary lithography
Proceedings of SPIE (September 29 2010)

Back to Top