Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9658, including the Title Page, Copyright information, Table of Contents, Invited Panel Discussion, and Conference Committee listing.

Organized by

Photomask Japan

SPIE

Co-organized by

BACUS

EMLC

In Cooperation with

The Japan Society of Applied Physics

The Japan Society for Precision Engineering

The Institute of Electrical Engineering (Japan)

Technical Exhibit in Cooperation with SEMI (Japan)

Supported by

City of Yokohama

Published by

SPIE

Volume 9658

Proceedings of SPIE 0277-786X, V. 9658

SPIE is an international society advancing an interdisciplinary approach to the science and application of light.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII, edited by Nobuyuki Yoshioka, Proceedings of SPIE Vol. 9658 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628418712

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9658_965801_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

  • Adachi, Takashi, 0P

  • Ahn, Won-suk, 08

  • Akima, Shinji, 0G

  • Amano, Tsuyoshi, 0L, 0M

  • Anze, Hirohito, 0R

  • Arai, Goki, 1A, 1B

  • Arias Espinoza, Juan Diego, 0J

  • Axelrad, Valery, 0A

  • Badger, Karen, 0G

  • Bang, Ju-Mi, 08

  • Barouch, Eytan, 0K, 13

  • Bekaert, J., 04

  • Bender, Markus, 12

  • Benk, Markus P., 0E

  • Bhamidipati, Samir, 0X

  • Bogers, Maurice, 0J

  • Bolton, Luke, 11

  • Bonam, Ravi, 0G, 11

  • Brouns, Derk, 0J

  • Buck, Peter, 0X

  • Cao, Y., 04

  • Chalom, Daniel, 05

  • Cheong, Lin, 11

  • Choi, Jin, 0C

  • Collins, Kevin, 11

  • Daneshpanah, Mehdi, 05

  • Davydova, Natalia, 0I

  • de Kruif, Robert, 0I

  • de Winter, Laurens, 0J

  • Deng, Erwin, 0Y

  • Dhalluin, Florian, 0J

  • Dinh, Thanh-Hung, 1A, 1B

  • Doise, J., 04

  • Eder-Kapl, Stefan, 05

  • Eyring, Stefan, 05

  • Fenger, G., 04

  • Fliervoet, Timon, 0I

  • Fujii, Nobuaki, 0V

  • Fujimura, Yukihiro, 0P

  • Fujino, Takahiro, 18

  • Fujiyoshi, Ryoko, 1C

  • Fukugami, Norihito, 0H

  • Gallagher, Emily, 0F, 0H

  • Ganjugunte, Shashidhara K., 10

  • Garbowski, Tomasz, 07

  • Garetto, Anthony, 0U

  • Geist, David, 05

  • Goldberg, Kenneth A., 0E

  • Gronheid, R., 04

  • Guo, Eric, 0S

  • Hara, Daisuke, 0V

  • Hara, Hiroyuki, 1A, 1B

  • Harada, Tetsuo, 18, 19

  • Hashimoto, Hiraku, 19

  • Hatakeyama, Masahiro, 0L

  • Hatayama, Masatoshi, 14

  • Hayano, Katsuya, 0P, 0V

  • Hendrickx, Eric, 0I

  • Her, Y. J., 04

  • Higashiguchi, Takeshi, 1A, 1B

  • Hirano, Ryoichi, 0L, 0M

  • Hirano, Termusa, 03

  • Horiuchi, Toshiyuki, 15, 16, 17

  • Hosono, Koji, 0Z

  • Hudek, Peter, 05

  • Ichimaru, Satoshi, 14

  • Iguchi, Haruki, 19

  • Iida, Susumu, 0L, 0M

  • Iizuka, Tetsuya, 02

  • Imai, Hidemichi, 0V

  • Ino, Tomohisa, 0N

  • Ishii, Hiroyuki, 0A

  • Isogawa, Takeshi, 0G, 11

  • Iwanaga, Yoshinori, 03

  • Iwasaki, Jun-ya, 17

  • Jayaram, Srividya, 10

  • Jeon, Chan-Uk, 08, 0C

  • Ji, Hye-Rim, 13

  • Jonckheere, Rik, 0F, 0H

  • Jung, HoYong, 06

  • Kagawa, Masayuki, 0G, 11

  • Kamikubo, Takashi, 0R

  • Kanaya, Yasuhiro, 02

  • Kanno, Koichi, 0V, 0W

  • Kato, Yasuo, 0R

  • Kemen, Thomas, 07

  • Kemmochi, Daisuke, 03

  • Kim, ByungJu, 0Q

  • Kim, Guk-Jin, 13

  • Kim, Hee-Bom, 08

  • Kim, In-Seon, 0K, 13

  • Kim, Ji-Young, 08

  • Kim, Min-Su, 13

  • Kim, MunSik, 06

  • Kim, SangPyo, 06, 0Q

  • Kindt, Louis, 11

  • Kinoshita, Hiroo, 18, 19

  • Kinoshita, Hiroshi, 03

  • Klikovits, Jan, 05

  • Kodera, Yutaka, 0G, 0H

  • Kozawa, Takahiro, 1C

  • Kuki, Masaki, 19

  • Kuribara, Masayuki, 0V

  • Kusunose, Haruhiko, 0O

  • LaCour, Pat, 10

  • Laske, Frank, 05

  • Lawliss, Mark, 0G, 11

  • Lee, Dong Hyun, 0C

  • Lee, Rachel, 0Y

  • Lee, SookHyun, 0C

  • Lentzen, Sven, 0J

  • Li, Rivan, 0S

  • Lim, JongHoon, 0Q

  • Lin, Roger, 0Y

  • Lin, Shaina, 0Y

  • Lu, Max, 0S

  • Mangat, Pawitter, 0E

  • Matsumoto, Hiroyuki, 0Z

  • Matsumoto, Jun, 0V

  • Matsushita, Shohei, 0V

  • Mikami, Koji, 0A

  • Miura, Yoichi, 0P

  • Miyai, Hiroki, 0N, 0O

  • Miyajima, Masaaki, 0Z

  • Miyashita, Hiroyuki, 0P, 0V, 0W

  • Miyauchi, Toru, 0Z

  • Miyazaki, Junji, 0I

  • Mori, Ichiro, 0O

  • Morikawa, Yasutaka, 0P

  • Morizane, Yuta, 16

  • Murakami, Takeshi, 0L

  • Murakawa, Tsutomu, 0V

  • Nagata, Yutaka, 18

  • Nakagawa, Takashi, 0T

  • Nakajima, Fumitaka, 0T

  • Nakamura, Takayuki, 0V

  • Nakayama, Ryo, 0A

  • Nakayamada, Noriaki, 0R

  • Namkung, Hoon, 06

  • Naoe, Mitsufumi, 0Z

  • Narita, Eisuke, 11

  • Nesládek, Pavel, 12

  • Neumann, Jens Timo, 0I

  • Nishiguchi, Masaharu, 0W

  • Nishimoto, Nirou, 0T

  • Nomura, Haruyuki, 0R

  • Nomura, Naoya, 1C

  • Ogasawara, Munehiro, 0R

  • Oh, Hye-Keun, 0K, 13

  • Ohara, Kana, 0W

  • Ohchi, Tadayuki, 14

  • Ohta, Eiji, 0T

  • Okamoto, Kazumasa, 1C

  • Oku, Satoshi, 14

  • Oyama, Kenichi, 0A

  • Pang, Linyong, 0V

  • Paninjath, Sankaranarayanan, 0X

  • Park, EuiSang, 06, 0Q

  • Park, Jin-Goo, 13

  • Park, Sinjeung, 0C

  • Pereira, Mark, 0X

  • Péter, Mária, 0J

  • Philipsen, Vicky, 0I

  • Poro, Richard, 11

  • Qi, Zhengqing John, 0G

  • Rademacher, Thomas, 0U

  • Rankin, Jed, 0G, 11

  • Roeth, Klaus-Dieter, 05

  • Rolff, Haiko, 12

  • Ruinemans, Erik, 0J

  • Ryckaert, J., 04

  • Sakajiri, Kyohei, 0B

  • Sakata, Yo, 0H

  • Satake, Masaki, 0W

  • Sato, Takanori, 15

  • Scaccabarozzi, Luigi, 0J

  • Schedel, Thorsten, 12

  • Schulz, Kristian, 0U

  • Seki, Kazunori, 0G

  • Seo, Hwan-Seok, 08

  • Seung, Byoung-Hoon, 08

  • Shi, Irene, 0S

  • Shida, Soichi, 0V

  • Shin, In Kyun, 0C

  • Smayling, Michael C., 0A

  • Smith, Daniel, 0J

  • Son, Donghwan, 0W

  • Son, JaeSik, 0Q

  • Song, Jae-Min, 08

  • Steinhartová, Tereza, 12

  • Strecker, Norbert, 10

  • Suematsu, Kenichi, 0L

  • Suganuma, Mizuna, 0R

  • Sunahara, Atsushi, 1A, 1B

  • Suzuki, Tomohiro, 0O

  • Tachikawa, Masahiro, 0T

  • Takagi, Noriaki, 0F

  • Takeda, Nobuo, 0T

  • Takehisa, Kiwamu, 0O

  • Takeuchi, Kanji, 0Z

  • Tamamushi, Shuichi, 0C

  • Tanaka, Yusuke, 18

  • Tani, Ayako, 0P

  • Terao, Kenji, 0L

  • Tian, Eric, 0S

  • Tolani, Vikram, 0W

  • Tomooka, Takatoshi, 02

  • Torunoglu, Ilhami, 10

  • Tsujita, Koichiro, 0A

  • Turley, Christina, 11

  • Umegaki, Kikuo, 1C

  • Van den Heuvel, Dieter, 0F

  • Van der Sanden, Jack, 0J

  • Van der Zande, Wim, 0J

  • Van Gils, Rob, 0J

  • Van Look, Lieve, 0I

  • van Oosten, Anton, 0I

  • van Schoot, Jan, 0I

  • Vandenberghe, Geert, 04, 0I

  • Verduijn, Erik, 0E, 0H

  • Vermeulen, Hans, 0J

  • Wang, Alice, 0Y

  • Watanabe, Genta, 0H

  • Watanabe, Hidehiro, 0F, 0L, 0M, 0O

  • Watanabe, Jun, 17

  • Watanabe, Takeo, 18, 19

  • Wittebrood, Friso, 0I

  • Wojdyla, Antoine, 0E

  • Wood, Obert R., II, 0E

  • Yaegashi, Hidetami, 0A

  • Yamamoto, Hiroki, 1C

  • Yamane, Takeshi, 0N

  • Yang, Chuen Huei, 0Y

  • Yashima, Jun, 0R

  • Yeung, Micheal, 0K, 13

  • Yim, DongGyu, 06, 0Q

  • Yoshikawa, Shingo, 0P, 0V

  • Yoshikawa, Shoji, 0L

  • Yoshikawa, Yutaka, 03

  • Zeidler, Dirk, 07

Conference Committees

Symposium Chair

  • Toshiyuki Horiuchi, Tokyo Denki University (Japan)

Symposium Vice Chair

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

Advisory Committee Chair

  • Masanori Komuro, High Energy Accelerator Research Organization (Japan)

Advisory Committee

  • Morihisa Hoga, Dai Nippon Printing Company, Ltd. (Japan)

  • Masao Otaki, Toppan Printing Company, Ltd. (Japan)

  • Tadahiro Takigawa, ALITECS Corporation (Japan)

  • Yoshio Tanaka, D2S K.K. (Japan)

Organizing Committee Chair

  • Toshiyuki Horiuchi, Tokyo Denki University (Japan)

Organizing Committee Vice Chair

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

Organizing Committee

  • Uwe Behringer, UBC Microelectronics (Germany)

  • Parkson Chen, Taiwan Mask Corporation (Taiwan)

  • Junko Collins, SEMI Japan (Japan)

  • Brian J. Grenon, RAVE, LLC (United States)

  • Takehiko Gunji, Sony Semiconductor Corporation (Japan)

  • Hideaki Hamada, HTL Company Japan Ltd. (Japan)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Eiichi Hoshino, Nikon Corporation (Japan)

  • Kunihiro Hosono, Renesas System Design Company, Ltd. (Japan)

  • Hideaki Mitsui, HOYA Corporation (Japan)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Warren Montgomery, Colleges of Nanoscale Science and Engineering (United States)

  • Ichiro Mori, EUVL Infrustructure Development Center, Inc. (Japan)

  • Koichiro Tsujita, Canon Inc. (Japan)

  • Anto Yasaka, Hitachi High-Tech Science Corporation (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

Auditors

  • Yoshiki Suzuki, KLA-Tencor Japan Ltd. (Japan)

  • Yoji Tonooka, Toppan Printing Company, Ltd. (Japan)

Steering Committee Chair

  • Kunihiro Hosono, Renesas System Design Company, Ltd. (Japan)

Steering Committee Vice Chairs

  • Toshio Konishi, Toppan Printing Company, Ltd. (Japan)

  • Hidehiro Watanabe, EUVL Infrustructure Development Center, Inc. (Japan)

Steering Committee

  • Takayuki Abe, NuFlare Technology Inc. (Japan)

  • Akihiko Ando, Renesas System Design Company, Ltd. (Japan)

  • Takashi Kamo, Toshiba Corporation Semiconductor & Storage Products Company (Japan)

  • Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

  • Yasutaka Morikawa, Dai Nippon Printing Company, Ltd. (Japan)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Teruaki Noguchi, JEOL Ltd. (Japan)

  • Yasushi Okubo, HOYA Corporation (Japan)

  • Tomoyuki Okada, FUJITSU Semiconductor Ltd. (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

  • Hiroyoshi Tanabe, Intel K.K. (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

Program Committee Chair

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

Program Committee Vice Chairs

  • Akihiko Ando, Renesas System Design Company, Ltd. (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

Program Committee

  • Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

  • Peter Buck, Mentor Graphics Corporation (United States)

  • Jeff Farnsworth, Intel Corporation Technology & Manufacturing Group (United States)

  • Thomas B. Faure, IBM Corporation (United States)

  • Kazuyuki Hagiwara, D2S, K.K. (Japan)

  • Shigeru Hirukawa, Nikon Corporation (Japan)

  • Koji Hosono, FUJITSU Semiconductor Ltd. (Japan)

  • Hidemichi Imai, Dai Nippon Printing Company, Ltd. (Japan)

  • Ichiro Kagami, Sony Semiconductor Corporation (Japan)

  • Franklin Kalk, Toppan Photomasks Inc. (United States)

  • Takashi Kamikubo, NuFlare Technology, Inc. (Japan)

  • Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

  • Byung-Gook Kim, Samsung Electronics Company, Ltd. (Republic of Korea)

  • Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

  • Jun Kotani, Toppan Printing Company, Ltd. (Japan)

  • John Lin, Taiwan Semiconductor Manufacturing Company (Taiwan)

  • Mark Ma, Photronics, Inc. (United States)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Koji Murano, TOSHIBA Corporation Semiconductor & Storage Products Company (Japan)

  • Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

  • Yasutoshi Nakagawa, JEOL Ltd. (Japan)

  • Naoki Nishida, HOYA Corporation (Japan)

  • Yasunari Sohda, Hitachi, Ltd. (Japan)

  • Osamu Suga, EUVL Infrastructure Development Center, Inc. (Japan)

  • Yasuko Tabata, TowerJazz Panasonic Semiconductor Company, Ltd. (Japan)

  • Yoichi Usui, HOYA Corporation (Japan)

  • Nobuhiko Yabu, Canon Inc. (Japan)

  • Tetsuya Yamamoto, KLA-Tencor Corporation (United States)

Session Chairs

  • 1 FPD Photomasks

    Ichiro Kagami, Sony Semiconductor Corporation (Japan)

    Nobuhiko Yabu, Canon Inc. (Japan)

  • 2 Keynote Lecture

    Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

  • 3 DSA

    Akihiko Ando, Renesas System Design Company, Ltd. (Japan)

    Jo Finders, ASML (The Netherlands)

  • 4 Writing Technologies

    Takashi Kamikubo, NuFlare Technology, Inc. (Japan)

    Uwe Behringer, UBC Microelectronics (Germany)

  • 5 Photomask Fabrication Processes

    Jun Kotani, Toppan Printing Company, Ltd. (Japan)

    Frank E. Abboud, Intel Corporation (United States)

  • 6 MDP & OPC

    Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

    Peter Buck, Mentor Graphics Corporation (United States)

  • 8 EUVL Masks I

    Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

    Hidemichi Imai, Dai Nippon Printing Company, Ltd. (Japan)

  • 9 EUVL Masks II

    Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

    Takashi Kamo, Toshiba Corporation Semiconductor & Storage Products Company (Japan)

  • 10 EUVL Masks III

    Masashi Sunako, Lasertec USA, Inc. (United States)

    Thomas B. Faure, IBM Corporation (United States)

  • 11 EUVL Masks IV

    Koji Murano, TOSHIBA Corporation Semiconductor & Storage Products Company (Japan)

    Pavel Nesladek, AMTC Dresden (Germany)

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9658", Proc. SPIE 9658, Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII, 965801 (10 July 2015); https://doi.org/10.1117/12.2203615
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Printing

Group IV semiconductors

Semiconductors

3D image processing

Inspection

Back to Top