Open Access Paper
4 September 2015 Front Matter: Volume 9661
Proceedings Volume 9661, 31st European Mask and Lithography Conference; 966101 (2015) https://doi.org/10.1117/12.2217975
Event: 31st European Mask and Lithography Conference, 2015, Eindhoven, Netherlands
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9661 including the Title Page, Copyright information, Table of Contents, Foreword, Authors, and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), 'Title of Paper,” in 31st European Mask and Lithography Conference, edited by Uwe F.W. Behringer, Jo Finders, Proceedings of SPIE Vol. 9661 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628418798

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9661_966101_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Alagna, Paolo, 05

Assmann, Heiko, 0J, 0L

Baghdasaryan, Tigran, 0V

Baselmans, Jan, 05

Baselt, Tobias, 0J

Bottiglieri, Gerardo, 0S

Bunday, Benjamin D., 0O

Chojnowski, N., 0P

Colsters, P., 0B

Conley, Will, 05

Cuypers, Dieter, 0V

Dankelmann, M., 0L

Davydova, Natalia, 09, 0A, 0B,

de Bruin, Diederik, 0F

de Kruif, Robert, 09, 0B

De Smet, Herbert, 0V

De Smet, Jelle, 0V

de Winter, L., 0A, 0B

Decaunes, J., 0P

Dellemann, Gregor, 0O

Dicker, Gerald, 0F

Diez, Steffen, 04

Dillen, Harm, 0N

Dong, Feng, 0K

Eberle, Anna Lena, 0O

Farys, V., 06, 0Q

Fenouillet-Beranger, C., 06

Finders, J., 0A

Fliervoet, Timon, 09

Fujii, Nobuaki, xvii

Garbowski, Tomasz, 0O

Garetto, Anthony, 0M

Gatefait, M., 0P

Greiner, Andreas, 0J

Haase, Anton, 0W

Hageman, J., 0B

Hansson, G., 03

Hara, Daisuke, xvii

Hartmann, Peter, 0J

Hasan, T., 0R

Hayano, Katsuya, xvii

Hendrickx, Eric, 09

Hoefnagels, R., 0B

Hollink, T., 0B

Houser, David C., 0K

Hsieh, Simon, 05

Imai, Hidemichi, xvii

Jablonski, Michal, 0V

Jackson, Allyn, 0Y

Jehle, Achim, 04

Jenkins, Peter, 0F

Jindal, Vibhu, 0O

Jonckheere, R., 0B

Joshi, Pankaj, 0V

Kabardiadi, Alexander, 0J

Kaiser, Winfried, 0T

Kanno, Koichi, xvii

Katakamsetty, Ushasree, 0E

Kemen, Thomas, 0O

Kneer, Bernhard, 0T

Kocsis, Z., 0P

Kottumakulal, R., 0B

Krause, A., 0L

Kuncha, Rakesh Kumar, 0E

Kuribara, Masayuki, xvii

Lam, A., 0P

Landie, G., 0Q

Last, T., 0A

Laubis, Christian, 0W

Le-Gratiet, B., 0P, 0R

Liebregts, W., 0B

Mailfert, Julien, 05

Malloy, Matt, 0O

Matsumoto, Jun, xvii

Matsushita, Shohei, xvii

Maurer, R., 0L

McNamara, J., 0B

Migura, Sascha, 0T

Mikolajczak, M., 0P

Missinne, Jeroen, 0V

Miyashita, Hiroyuki, xvii

Miyazaki, Junji, 09

Monget, C., 0P

Morin, V., 0P

Mukhtar, Maseeh, 0O

Murakawa, Tsutomu, xvii

Nakamura, Takayuki, xvii

Narayana Samy, Aravind, 0E

Neumann, Jens Timo, 09, 0S, 0T

Newcomb, R., 0L

Oorschot, Dorothe, 0G

Ostrovsky, A., 0P

Pang, Linyong, xvii

Pellens, R., 0B

Perera, Chami N., 0K

Perera, Rupert C. C., 0K

Peters, Jan Hendrik, 0O

Peterson, Brennan, 0F

Philipsen, Vicky, 09, 0G

Prentice, C., 0R

Psara, Eleni, 0G

Quoi, Kathy, 0O

Rademacher, Thomas, 0M

Rechtsteiner, Greg, 05

Ricken, K., 0B

Roesch, Matthias, 0S

Sandstrom, T., 03

Schellekens, Twan, 0N

Schiffelers, G., 0B

Schneider, L., 06

Scholze, Frank, 0W

Schulz, Kristian, 0M

Serret, E., 06

Shang, Xiaobing, 0V

Shida, Soichi, xvii

Simiz, J.-G., 0R

Sluijk, Boudewijn, 0F

Smith, I., 0P

Soltwisch, Victor, 0W

Specht, M., 0L

Staals, F., 0R

Sundelin, E., 03

Svensson, A., 03

Taudt, Christopher, 0J

Teigell Beneitez, Nuria, 0V

Tel, W. T., 0R

Thiel, Brad, 0O

Thienpont, Hugo, 0V

Timoshkov, Vadim, 05

Tishchenko, A., 0R

Tritchkov, A., 0Q

Usry, W., 0L

Vaenkatesan, Vidya, 0B, 0N

van Dijk, A., 0B

van Dijk, Joep, 0B, 0N

van Ingen Schenau, Koen, 0S

Van Look, Lieve, 09

van Oosten, Anton, 09

van Schoot, Jan, 09, 0S, 0T

van Setten, Eelco, 0B, 0G

Verma, Piyush, 0D

Vervaeke, Michael, 0V

Villaret, A., 0Q

Wahlsten, M., 03

Wang, Jingyu, 0D

Wei, Alexander, 0D

Wilkinson, William, 0D

Wittebrood, Friso, 09,

0G Wöltgens, Pieter, 0F

Wong, Patrick, 05

Word, J., 0Q

Wurm, Stefan, 0O

Yesilada, E., 0Q

Yoshikawa, Shingo, xvii

Zeggaoui, N., 0Q

Zeidler, Dirk, 0O

Zurita, Omar, 05

Conference Committee

Conference Chairs

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

Conference Co-chairs

  • Chris Gale, Applied Materials (Germany)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

Program Chairs

  • Daniel Sarlette, Infineon Technologies Dresden GmbH (Germany)

  • Brid Connolly, Toppan Photomasks GmbH (Germany)

  • Rolf Seltmann, GLOBALFOUNDRIES (Germany)

Other Members

  • Paul Ackmann, Globalfoundries Inc., Santa Clara (United States)

  • Michael Arnz, Carl Zeiss SMT AG, Oberkochen(Germany)

  • Carola Bläsing, Carl Zeiss SMS GmbH (Germany)

  • Parkson Chen, Taiwan Mask Corporation (Taiwan)

  • Natalia Davydova, ASML Netherlands B.V. (Netherlands)

  • Dave Farrar, HOYA Corporation (United Kingdom)

  • Rik Jonckheere, IMEC vzw (Belgium)

  • Barbara Lauche, Photronics MZD GmbH (Germany)

  • Carlos Lee, EPIC – European Photonics Industry Consortium (Belgium)

  • Bertrand Le Gratiet, STMicroelectronics (France)

  • Hans Löschner, IMS Nanofabrication AG (Austria)

  • Wilhelm Maurer, Infineon Technologies Dresden GmbH (Germany)

  • Hiroaki Morimoto, Toppan Printing Company Ltd. (Japan)

  • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • Emmanuel Rausa, Plasma-Therm USA (United States)

  • Douglas J. Resnick, Molecular Imprints (United States)

  • Klaus-Dieter Röth, KLA-Tencor MIE (Germany)

  • Thomas Scherübl, Carl Zeiss SMS GmbH (Germany)

  • Ronald Schnabel, VDE/VDI-GMM (Germany)

  • Steffen Schulze, Mentor Graphics Corporation (United States)

  • Ines Stolberg, Vistec Electron Beam GmbH (Germany)

  • Jacques Waelpoel, ASML Netherlands B.V. (Netherlands)

  • John Whittey, KLA-Tencor (United States)

  • Jim Wiley, ASML US, Inc. (United States)

  • Carlton Willson, University of Texas, Austin (United States)

  • Hermann Wolf, Photronics MZD GmbH (Germany)

  • Stefan Wurm, SEMATECH (United States)

  • Larry Zurbrick, Agilent Technologies (United States)

Session Chairs

  • Plenary Session I

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

  • Rolf Seltmann, GLOBALFOUNDRIES (Germany)

  • Plenary Session II

  • Brid Connolly, Toppan Photomasks GmbH (Germany)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Patterning and Process Characterization

  • Jim Wiley, ASML US, Inc.(United States)

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • DSA

  • Lieve van Look, IMEC (Belgium)

  • Joost Bekaert, IMEC (Belgium)

  • EUV Lithography

  • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • Joost Bekaert, IMEC (Belgium)

  • Mask Preparation and OPC

  • Jim Wiley, ASML US, Inc. (United States)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Towards 7nm Technology

  • Natalia Davydova, ASML Netherlands B.V. (Netherlands)

  • Daniel Sarlette, Infineon Technologies Dresden GmbH (Germany)

  • Mask Metrology

  • Carola Bläsing, Carl Zeiss SMS GmbH (Germany) (Germany)

  • Klaus-Dieter Röth, KLA-Tencor MIE (Germany)

  • Measurement and Inspection Techniques

  • Daniel Sarlette, Infineon Technologies Dresden GmbH (Germany)

  • Ines Stolberg, Vistec Electron Beam GmbH (Germany)

  • Extension of Immersion Lithography

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

  • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • High NA EUV Lithography

  • C. Zoldesi, ASML Netherlands B.V. (Netherlands)

  • Natalia Davydova, ASML Netherlands B.V. (Netherlands)

Foreword

On behalf of VDE/VDI-GMM, the sponsors, and the organizing committee, we would like to welcome you to the proceedings from the 31st European Mask and Lithography Conference, EMLC2015, at the Pullman Hotel in Eindhoven, Netherlands.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask lithography and mask technology. The two-day conference was dedicated to the science, technology, engineering, and application of mask and lithography technologies and associated processes—giving an overview of the present status of mask and lithography technologies, while also providing future strategies where mask producers and users have the opportunity to become acquainted with new developments and results. This year's sessions included: Extension of Immersion Lithography, EUV Lithography, High NA EUV Lithography, EUV Mask Technology, Toward 7nm Technology, Mask Preparation and OPC, Mask Metrology, Mask Writing, and DSA.

Rob van Gijzel, mayor of the city of Eindhoven, was the welcome speaker.

Lucas van Grinsven, Head of Communication from ASML, was also a welcome speaker. His presentation was titled, “From Shed to Global Leader, ASML to the Brainport of the Netherlands.”

Our first keynote speaker was Hans Meiling from ASML, who explained his statement: “EUV lithography into high volume manufacturing: “WHEN”, not “IF.”

Our second keynote speaker was Greg McIntyre from IMEC. His talk was titled, “Scaling trends and options: plenty of reason to be hopeful.”

On Monday morning, Ingo Bork from Mentor Graphics Corporation presented the Best Paper from PMJ 2015 and the Best Poster from BACUS 2014.

On Monday late afternoon a poster session took place. This year some posters were organized by ASML, the co-partner of the EMLC2015. These selected posters were part of the ASML internal Technology Day on Wednesday, June 24.

Technical Exhibition

Parallel to the conference presentations, a technical exhibition took place on Monday and Tuesday where companies (mask suppliers, material suppliers, and equipment suppliers) exhibited their companies and products. To foster the exchange between conference attendees and exhibitors, the exhibition area was also the place for all coffee and lunch breaks.

Uwe F.W. Behringer

EMLC2015 Conference Chair

Sponsors and Cooperating Partners

The 31st European Mask and Lithography Conference, EMLC2015, expresses its sincere appreciation to all the sponsors and cooperating partners listed below for their support.

00001_psisdg9661_966101_page_15_1.jpg

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9661", Proc. SPIE 9661, 31st European Mask and Lithography Conference, 966101 (4 September 2015); https://doi.org/10.1117/12.2217975
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Lithography

Electron beam lithography

Imaging systems

Optical proximity correction

3D image processing

Back to Top