Open Access
1 February 2022 Impact of thermal expansion coefficient on the local tilt angle of extreme ultraviolet pellicle
Author Affiliations +
Abstract

Background: A local tilt angle of <300  mrad results in a critical dimension uniformity (CDU) impact below 0.1 nm when a pellicle is used for extreme ultraviolet (EUV) lithography. However, the thermomechanical property guidelines satisfying this specification have not yet been established.

Aim: We present the thermomechanical property guidelines that yield a CDU impact below 0.1 nm.

Approach: The peak temperature ranges of the EUV pellicle, as a function of the emissivity, were calculated through experimental, numerical, and finite element method analyses. The wrinkle profiles were evaluated as a function of the coefficient of thermal expansion (CTE) within these temperature ranges. The emissivity and CTE values satisfying the specifications were obtained using the CDU impact caused by the wrinkled EUV pellicle.

Results: The wrinkle amplitude in the EUV pellicle exhibited 45% attenuation with a twofold decrease in the CTE. The maximum local tilt angles for the 17, 16, and 15 nm half-pitch patterns were 290.2, 286.1, and 272.3 mrad, respectively. CTE below 2  ×  10  −  5  K  −  1 and emissivity above 0.1 are suggested for the EUV pellicle.

Conclusions: The CTE and emissivity guidelines satisfying the CDU impact specifications can be used for developing next-generation EUV pellicles.

1.

Introduction

Even though the extreme ultraviolet transmittance (EUVT) and thermal stability of EUV pellicles have been improved, it is necessary to confirm the effect on the imaging performance when a EUV pellicle is employed in the lithography process.1 As the temperature of the EUV pellicle increases under EUV exposure, the corresponding slit area expands and forms a wrinkle.1,2 This wrinkle induces EUVT nonuniformity because of the different EUV-light paths through the wrinkled EUV pellicle, ultimately impacting the imaging performance.3 It was reported that, because of the wrinkles formed in the EUV pellicle during the exposure, the critical dimension uniformity (CDU) impact can be limited to 0.1 nm by maintaining the local tilt angle below 300 mrad.4 Although the value of the local tilt angle has been specified, the corresponding thermomechanical property guidelines have not been reported.5 Previous studies assumed a two-dimensional wrinkle profile and an arbitrary period independent of the material properties of the EUV pellicle.2,6

We performed experimental, numerical, and finite element method (FEM) analyses to present the guidelines for the thermomechanical properties of the EUV pellicle according to the CDU impact specifications.7 The wrinkle profile of the pellicle was studied under EUV exposure, and the imaging performance was simulated using a wrinkled EUV pellicle under various values of emissivity and coefficients of thermal expansion (CTE) (Fig. 1). We present the guidelines of thermomechanical properties for the next-generation EUV pellicles, which meet the CDU specification.

Fig. 1

Analysis flow for evaluating the ranges of the emissivity and CTE and the limit of the local tilt angle of the EUV pellicle for a CDU impact below 0.1 nm.

JM3_21_1_014401_f001.png

2.

Experimental Methods

2.1.

Experimental and Numerical Analyses for Evaluating the Peak Temperature of the Pellicle Under EUV Exposure

Silicon-rich silicon nitride (Si6N7) was used as the EUV pellicle for experimental analysis to confirm the feasibility of the numerical and FEM analyses.8 Figure 2(a) shows the transmission electron microscopy (TEM) cross-sectional image of a 19-nm-thick Si6N7 EUV pellicle, which exhibits 90% single-pass transmittance at a wavelength of 13.5 nm.9 The thickness of Si6N7 EUV pellicle used for subsequent analysis was extracted from the TEM result.

Fig. 2

(a) TEM cross-sectional image of the 19-nm-thick Si6N7 EUV pellicle used for experimental analysis and (b) schematic of the heat-load test apparatus.

JM3_21_1_014401_f002.png

Figure 2(b) shows the schematic of the heat-load test apparatus used to emulate the thermal load during EUV scanning.10 The heat flux of the 355-nm UV laser can be matched with that of the EUV using Eq. (1), considering the absorbance of the pellicle at two different wavelengths.11 The absorbance (0.1) at a wavelength of 13.5 nm was used for the 19-nm-thick Si6N7 pellicle according to the center for x-ray optics database.12 α355  nm was set to 0.0344 based on the spectrophotometer results, and A355  nm was set to 0.283  cm2, corresponding to the area of a 0.6-cm-diameter beam. A two-channel pyrometer was used to eliminate the effect of emissivity changes during the measurement of the EUV pellicle temperature:13,14

Eq. (1)

α355  nmP355  nmA355  nm=  α13.5  nmP13.5  nmA13.5  nm,
where α is the absorbance, P is the power, A is the beam size, and each subindex is the wavelength of the light source.

The change in the temperature of the EUV pellicle over time (dT/dt), with the varying the emissivity and specific heat, was calculated using the Stefan–Boltzmann equation, considering radiation to be the only heat dissipation mechanism [Eq. (2)].2,15,16 The material properties of the EUV pellicle and the exposure conditions for numerical analysis were considered to be independent of the temperature and were approximated by rounding to the fourth decimal place (Table 1):2,17,18

Eq. (2)

dTdt=1C·m[α·Pε·σSB·S·(T4Ts4)].

Table 1

Material properties and exposure conditions for calculating the peak temperature of the Si6N7 EUV pellicle.

IndexContentsValue
tExposure time (s)0.1
PIncident EUV power in the slit area (W)55
mMass (kg)4.358×109
αAbsorbance0.1
CSpecific heat (Jkg1K1)673
εEmissivity0.0035
σSBStefan–Boltzmann constant (Wm2K4)5.67×108
SRadiating area (m2)0.0011
TsSurrounding temperature (K)295.15

2.2.

FEM Simulation of a Wrinkle in the Slit Area of the EUV Pellicle

Several studies performed FEM analysis using the ANSYS workbench to evaluate the wrinkles in the membrane.1922 We also used ANSYS 2021R1 to simulate the wrinkle profile in a full-sized (110  mm×143  mm) pellicle under EUV exposure.23

The simulation was performed using steady-state thermomechanically coupled analysis. The experimental results were compared with the FEM results using the parameters in Table 1 to confirm the effectiveness of the FEM thermal analysis. The temperature of the pellicles under EUV scanning was calculated using the conditions in Table 1, except for the exposure time (0.01 s) and incident heat flux (7.925  Wcm2 considering 65% reflection from the mask).2,2426 The thermal analysis assumed uniform heat flux in a 10  mm×110  mm rectangular slit and radiation as the only mechanism for heat dissipation. Tensile residual stress and gravity were applied to the EUV pellicle before applying the temperature load during the structural analysis.27 The wrinkle profile of the EUV pellicle was simulated at different emissivity values (0.025, 0.05, 0.1, 0.2, and 0.4) and CTEs (0.5×105, 1×105, 2×105, and 4×105  K1).17,2831 The CTE range was set according to the change in the CTE due to heating and oxidation.3237 The EUV pellicle deformation was assumed to be elastic, and nonlinear analysis was performed for large deflections.38

2.3.

Numerical Analysis of the EUV-Light Path and EUVT Non-Uniformity in a Wrinkled EUV Pellicle

The biaxial wrinkle profile in the rectangular plate used for numerical modeling39 is given by the following equation:

Eq. (3)

w(x,y)=A(sinmπax)4(sinnπby)3,
where w is the out-of-plane deformation in the Z direction at the (x,y) coordinate, A is the wrinkle amplitude, m and n are the number of half-wavelengths in the X and Y directions, and a and b are the lengths of the slit in the X direction (10 mm) and Y direction (110 mm), respectively.

The number of half-wavelengths and the average wrinkle amplitude of the simulated results were substituted in Eq. (3). Wrinkles within 3 mm of the border were excluded as they did not affect the imaging performance owing to the black border of the mask,40 and the modeled wrinkle profiles were plotted as a 10  mm×100  mm XY-plane contour graph with a grid size of 100  μm.

The EUV-light path length through the wrinkled pellicle, calculated using MATLAB R2020b, was used to evaluate the EUVT nonuniformity of the wrinkled region in the EUV pellicle. The single-pass EUVT through the pellicle membrane was calculated using Eqs. (4) and (5)41 with fixed values of the refractive index ηp (0.983) and extinction coefficient κp (0.006) at wavelength λ (13.5 nm). The length of the EUV-light path through the wrinkled pellicle is l, and the proportional coefficient used for calculating the EUVT is D.

The effect of wrinkles on the imaging performance was evaluated using a double-pass EUVT, which is the product of the EUVT in the first and second passes, and the EUVT nonuniformity was calculated as the difference between the maximum and minimum double-pass EUVT in the slit area:3

Eq. (4)

EUVT=8(ηp2+κp2)D.

Eq. (5)

D=[(ηp2+κp2+1)2+4ηp2]cosh(4πκplλ)+4ηp(ηp2+κp2+1)sinh(4πκplλ)[(ηp2+κp21)24κp2]cos(4πκplλ)+4κp(ηp2+κp21)sin(4πκplλ).

2.4.

CDU Impact Due to the Double-Pass EUVT Nonuniformity in a Wrinkled EUV Pellicle

The CDU impact of the wrinkles in the EUV pellicle during patterning was simulated using Panoramic Hyperlith, and the parameters used for imaging performance simulation are listed in Table 2.12,42,43 The refractive index and extinction coefficient were approximated by rounding to the fourth decimal place. The aerial images through pellicles with different EUVT were simulated separately for the 17, 16, and 15 nm half-pitch (HP) L/S patterns because Hyperlith cannot accommodate the wrinkles in the EUV pellicle. The threshold intensity that meets the target CD without the pellicle (“no pellicle” condition) was used as the reference intensity, and the CD after double-pass through the EUV pellicle was obtained. The CDU impact owing to the double-pass EUVT in the wrinkled EUV pellicle was then calculated using the derived equation.3

Table 2

Parameters of the mask, illumination, and EUV pellicle used for imaging performance simulation.

ContentsValue
Mask absorber material/thicknessTaBN/55 nm
Refractive index of the absorber (ηab)0.95
Extinction coefficient of the absorber (κab)0.031
Critical dimension (pitch) of L/S (nm)17 (34), 16 (32), 15 (30)
Illumination condition σinner/σouterDipole 0.1/0.8
Multilayer reflectivity65%
EUV pellicle materialSi6N7
Refractive index of the pellicle (ηp)0.983
Extinction coefficient of the pellicle (κp)0.006

3.

Results and Discussion

3.1.

Peak Temperature of the EUV Pellicle at Various Emissivity and Specific Heat Values

The peak temperatures obtained from the numerical and FEM analyses were compared with the experimental values obtained by irradiating the pellicle using a 355-nm laser equivalent to 5  Wcm2 EUV for 0.1 s [Fig. 3(a)]. The average peak temperature of the EUV pellicle (1752 K) measured by the heat load apparatus is consistent with the results of the numerical (1830 K) and FEM analyses (1834 K). The small deviation (4.5%) from the experimental data confirms that the numerical and FEM analyses can be used for calculating the peak temperature of the pellicle.

Fig. 3

(a) Peak temperatures of the experimental, numerical, and FEM analyses when 5  Wcm2 heat flux is introduced in the 19-nm-thick Si6N7 EUV pellicle for 100 ms, (b) results of the numerical and FEM analyses when 7.925  Wcm2 heat flux is introduced for 10 ms with 673  Jkg1K1 specific heat and various emissivity, and (c) results of numerical analysis for the influence of the specific heat on the peak temperature of the pellicle.

JM3_21_1_014401_f003.png

The peak temperature was calculated while varying the emissivity and specific heat of the EUV pellicle under the double-pass with an EUV incident power of 5  Wcm2 and a 65% mask reflection [Figs. 3(b) and 3(c)]. The average peak temperature decreases by 15%, 34%, 56%, and 82% as the emissivity increased by a factor of 2, 4, 8, and 16 from 0.025, respectively. The average peak temperature decreases by 1.3% and 4.3% with a two- and three-factor increase in the specific heat, respectively. The density of potential EUV pellicle materials ranges from 1000 to 6000  kgm3, limiting the effect of the specific heat on the peak temperature.4446 Consequently, only the emissivity was considered during the analysis of the thermal properties of the EUV pellicle.

3.2.

FEM Analysis of the Wrinkle Profile and Numerical Analysis of the Double-Pass EUVT Nonuniformity in the Slit Area

Figure 4(a) shows the isometric view of the wrinkle profile in the exposed slit area when EUV heat flux of 7.925  Wcm2 is applied to the center of the 19-nm-thick EUV pellicle for 10 ms. The EUVT, emissivity, and CTE of the EUV pellicle were set to 90%, 0.1, and 1×105  K1, respectively. When the applied compressive stress is higher than the critical buckling stress of the membrane, a wrinkle is formed along the direction of the applied tensile stress.47

Fig. 4

(a) Total deformation results of the FEM analysis of 19-nm-thick EUV pellicles at an emissivity of 0.1, CTE of 1×105  K1, and single-pass EUVT of 90% at 920.61 K. (b) Results of the averaged wrinkle amplitude on varying the emissivity and CTE of the pellicle, and attenuation ratios of the (c) emissivity and (d) CTE for the wrinkle amplitude.

JM3_21_1_014401_f004.png

Compressive stress is formed along the X and Y directions because of restrained thermal expansion due to the heat flux applied to the membrane. However, the compressive stresses along two directions are different as the magnitude of the compressive stress is proportional to the stiffness of the constraint.48 Although the compressive stress along the X direction is low due to the freestanding membrane, the compressive stress along the Y direction compensates for the residual stress of the membrane owing to the rigid border. Therefore, tensile stress is formed along the X direction, while compressive stress is formed along the Y direction, as shown in Fig. 4(a).47

The maximum wrinkle amplitude (71.2  μm) was obtained at emissivity and CTE values of 0.025 and 4×105  K1, respectively, whereas the minimum amplitude (15.6  μm) was obtained at emissivity and CTE values of 0.4 and 5×106  K1, respectively [Fig. 4(b)]. The attenuation ratios of the emissivity and the CTE of the wrinkle amplitude were calculated at an emissivity of 0.025 and CTE of 4×105  K1 [Figs. 4(c) and 4(d), respectively]. The wrinkle amplitude attenuates with the increase in the emissivity and decrease in the CTE. However, the attenuation ratios of the two properties are not compared at the same level because emissivity determines the peak temperature of the pellicle, whereas the CTE determines the wrinkle profiles in the pellicle under temperature gradients. However, an appropriate combination of the emissivity and CTE can yield a wrinkle profile satisfying the CD specifications.

The color bars in Fig. 5 represent the amount of deformation in the Z direction at each grid coordinate while varying the CTE of the pellicle. The numerically modeled wrinkle profile was used to calculate the EUV-light length through the wrinkled pellicle owing to its similarity with the simulation results.

Fig. 5

Contour plot of the modeled wrinkle profiles using MATLAB R2020b when EUV pellicles, with a single-pass EUVT of 90%, emissivity of 0.05, and various CTEs (5×106, 1×105, 2×105, and 4×105  K1 from left to right), are exposed to EUV heat flux of 7.925  Wcm2 for 10 ms.

JM3_21_1_014401_f005.png

Figure 6(a) shows the cross-section of the modeled wrinkle, which is divided by the XZ plane, corresponding to an arbitrary y1 on the wrinkled surface. The EUV-light path is denoted by a black arrow with a 6-deg chief ray angle at the object (CRAO) along the X direction.49 The bottom surface (wbot) of the wrinkle is expressed using Eq. (3) and the top surface (wtop) is the sum of h/sin(θnx) (θnx is the slope of the line normal to the wrinkle bottom) and wbot because the perpendicular distance between wbot and wtop denotes the EUV pellicle thickness (h). The incident angle (θix) of the EUV-light at wbot was calculated by subtracting θnx from the slope of the incident line with 6-deg CRAO (84 deg). The diffraction angle (θdx) was calculated using Eq. (6), which was derived from Snell’s law, with the refractive indices of vacuum and the EUV pellicle set to 1 and ηp, respectively.50 The value of x2 was calculated using Eq. (7), assuming that the EUV- light incident on the bottom of the wrinkle at (x1,y1,z1) passes through the pellicle and contacts the top surface at (x2,y1,z2):

Eq. (6)

θdx=sin1(sin(tan1(14A·mπa(cosmπax1)(sinmπax1)3(sinnπby1)3)84  deg)ηp),

Eq. (7)

A·(sin(nπby1))3(sin(mπax))4tan(θnxθdx)x+hsinθnxA·(sin(nπby1))3(sin(mπax1))4+tan(θnxθdx)x1=0.

Fig. 6

(a) Schematic of the diffracted EUV-light path in the X direction incident at 6 deg on the pellicle and (b) in the Y direction incident normally on the pellicle.

JM3_21_1_014401_f006.png

Figure 6(b) shows the cross-section of the wrinkled EUV pellicle divided by a plane, with a line in wbot when x=x1 and a line in wtop when x=x2. The sum of (x2x1)2+(z2z1)2 and wbot gives wtop. The diffraction angle of the YZ-plane (θdy) was calculated by substituting the slopes of the line perpendicular to the bottom of the wrinkle (θny) and that of the incident line (θiy) into Snell’s law. Assuming that the EUV-light incident on the bottom at (x1,y1,z1) contacts the top of the wrinkle at (x2,y2,z3) due to refraction in the X and Y directions within the pellicle, the distance between the two points gives the EUV-light length within the wrinkled pellicle. Equation (8) was used to calculate y2 and the single-pass EUVT was calculated by substituting the total length of the EUV-light in Eq. (4). Figure 7 shows the plot of double-pass EUVT nonuniformity according to the wrinkle amplitude:

Eq. (8)

A(sin(mπax2))4(sin(nπby))3tan(θnyθdy)y+(x2x1)2+(z2z1)2A(sin(mπax1))4(sin(nπby1))3+tan(θnyθdy)y1=0.

Fig. 7

Values of the double-pass EUVT nonuniformity according to the wrinkle amplitude. The dashed line represents the fitted polynomial curve.

JM3_21_1_014401_f007.png

3.3.

Calculation of the CDU Impact as a Function of the Double-Pass EUVT Nonuniformity in the Pellicle

Figures 8(a)8(c) show the aerial images through the EUV pellicles with an 88% to 98% single-pass EUVT for the 17, 16, and 15 nm HP L/S patterns, respectively. It can be inferred from the results that the CDU depends on the EUVT of the EUV pellicle.

Fig. 8

Aerial images through the EUV pellicles with a single-pass EUVT of 88% to 98% for (a) 17 nm, (b) 16 nm, and (c) 15 nm HP L/S patterns. The dashed line represents the threshold intensity (0.2668, 0.2620, and 0.2532 for 17, 16, and 15 nm HP L/S, respectively) under the “no pellicle” condition.

JM3_21_1_014401_f008.png

The CD values at the threshold intensity were fitted linearly with the above equations [Fig. 9(a)]. The CDU impact depends on the nonuniformity of the double-pass EUVT in the pellicle, and the slopes corresponding to the 17, 16, and 15 nm HP L/S patterns are shown in Fig. 9(b). The nonuniformity of the double-pass EUVT should be less than 0.4973%, 0.4833%, and 0.4378% for the 17, 16, and 15 nm HP L/S patterns, respectively, for a CDU impact below 0.1 nm.

Fig. 9

(a) CD as a function of the single-pass EUVT of the pellicle for 17, 16, and 15 nm HP L/S patterns and (b) CDU impact as a function of the double-pass EUVT nonuniformity calculated based on the single-pass EUVT of 88%.

JM3_21_1_014401_f009.png

3.4.

Calculation of the Local Tilt Angle in the EUV Pellicle and Material Property Guidelines for the EUV Pellicle to Meet the CDU Impact Specifications

The maximum local tilt angle (θmax=tan1(A·2π/2·length of half wavelength)) of the EUV pellicle was calculated according to the CD specifications.2 The maximum local tilt angles for 17, 16, and 15 nm HP L/S are 290.2, 286.1, and 272.3 mrad, corresponding to wrinkle amplitudes of 47.5, 46.8, and 44.4  μm, respectively.

The dashed line in Fig. 10 represents the CDU impact of 0.1 nm.7 EUV pellicles with a CTE of 1×105  K1 or less satisfy the CD specification with a CDU impact of 0.013 to 0.07 nm in the emissivity range of 0.025 to 0.4. However, an EUV pellicle with a CTE of 2×105  K1 and emissivity of 0.1 has a CDU impact of 0.0992 nm during 15-nm HP L/S patterning. The emissivity should be greater than 0.4 for a pellicle with a CTE of 4×105  K1 to meet the CD specification during 15 to 17 nm HP L/S patterning. For example, an EUV pellicle with a 3-nm ruthenium capping layer, which exhibits an emissivity of 0.4, cannot be used for an HP L/S pattern below 15 nm if the CTE of the EUV pellicle exceeds 4×105  K1.29 The CDU impact specifications are satisfied when EUV pellicles with a CTE of 2×105  K1 or less and emissivity of 0.1 or more are used during 15 to 17 nm HP L/S patterning.

Fig. 10

Results of the CDU impact when EUV pellicles with an isotropic elastic modulus of 317 GPa, Poisson’s ratio of 0.23, refractive index of 0.9832, and thickness of 19 nm with various emissivity values (0.025, 0.05, 0.1, 0.2, and 0.4) and CTEs [(a) 5×106, (b) 1×105, (c) 2×105, and (d) 4×105  K1] are used for patterning of 17, 16, and 15 nm HP L/S.

JM3_21_1_014401_f010.png

4.

Conclusion

In this study, the peak temperature of the EUV pellicle during EUV exposure was evaluated as a function of its emissivity through experimental, numerical, and FEM analyses. In addition, the wrinkle profiles of the pellicles were modeled in three dimensions by varying the CTE. The CDU impact due to EUVT nonuniformity in the wrinkled EUV pellicle was calculated, and the emissivity and CTE range for the pellicle were calculated to satisfy the CD specifications.

Although emissivity increases the thermal stability of the EUV pellicle by lowering its peak temperature, the CTE of the pellicle should also be considered to satisfy the CDU impact specifications during exposure. These guidelines for the emissivity and CTE of EUV pellicles can be extended to next-generation EUV pellicles through subsequent studies on other materials.

Acknowledgments

This research was supported by the Nano Material Technology Development Program of the National Research Foundation of Korea (NRF) funded by the Ministry of Education, Science and Technology (No. 2019K1A3A1A14067316), the Technology Innovation Program (No. 20009803, Development of pellicle material for EUV process) funded by the Ministry of Trade, Industry and Energy, and the BK21 FOUR (Fostering Outstanding University for Research) program through the National Research Foundation (NRF) funded by the Ministry of Education of Korea (5199991614716). The authors declare that there is no conflict of interest.

References

1. 

B. Wu and A. Kumar, “Extreme ultraviolet lithography: a review,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom., 25 (6), 1743 –1761 (2007). https://doi.org/10.1116/1.2794048 Google Scholar

2. 

D. L. Goldfarb, M. O. Bloomfield and M. Colburn, “Thermomechanical behavior of EUV pellicle under dynamic exposure conditions,” Proc. SPIE, 9776 977621 (2016). https://doi.org/10.1117/12.2218453 PSISDG 0277-786X Google Scholar

3. 

I.-S. Kim et al., “Impact of transmission non-uniformity of a wrinkled EUV pellicle for N5 patterning under various illuminations,” Microelectron. Eng., 177 35 –40 (2017). https://doi.org/10.1016/j.mee.2017.01.031 MIENEF 0167-9317 Google Scholar

4. 

C. Zoldesi et al., “Progress on EUV pellicle development,” Proc. SPIE, 9048 90481N (2014). https://doi.org/10.1117/12.2049276 PSISDG 0277-786X Google Scholar

5. 

H.-G. Shin and H.-K. Oh, “Extreme-ultraviolet pellicle durability comparison for better lifetime,” Proc. SPIE, 11147 111470U (2019). https://doi.org/10.1117/12.2536992 PSISDG 0277-786X Google Scholar

6. 

I.-S. Kim et al., “Impact of a deformed extreme ultraviolet pellicle in terms of the critical dimension uniformity,” J. Micro/Nanolithogr. MEMS MOEMS, 15 (2), 021003 (2016). https://doi.org/10.1117/1.JMM.15.2.021003 Google Scholar

7. 

L. Scaccabarozzi et al., “Investigation of EUV pellicle feasibility,” Proc. SPIE, 8679 867904 (2013). https://doi.org/10.1117/12.2015833 PSISDG 0277-786X Google Scholar

8. 

J. E. Kim et al., “Manufacturing SiNx extreme ultraviolet pellicle with HF wet etching process,” J. Semicond. Disp. Technol., 14 (3), 7 –11 (2015). Google Scholar

9. 

J. H. Kim et al., “Manufacturing large-scale SiNx EUV pellicle with water bath,” J. Semicond. Disp. Technol., 15 (1), 17 –21 (2016). Google Scholar

10. 

I. Pollentier et al., “The EUV CNT pellicle: balancing material properties to optimize performance,” Proc. SPIE, 11323 113231G (2020). https://doi.org/10.1117/12.2552357 PSISDG 0277-786X Google Scholar

11. 

Y. J. Jang et al., “Investigation of the mechanical/thermal properties of nano-scale silicon nitride membranes,” J. Korean Inst. Met. Mater., 57 (2), 124 –129 (2019). https://doi.org/10.3365/KJMM.2019.57.2.124 TKHCDJ 0253-3847 Google Scholar

12. 

B. L. Henke, E. M. Gullikson and J.C. Davis, “X-ray interaction: photoabsorption, scattering, transmission, and reflection at E = 50-30000 eV, Z = 1-92,” At. Data Nucl. Data Tab., 54 (2), 181 –342 (1993). https://doi.org/10.1006/adnd.1993.1013 ADNDAT 0092-640X Google Scholar

13. 

M. Kulish et al., “The liner brightness temperature measurement by two channel optical pyrometer,” J. Phys.: Conf. Ser., 946 012042 (2018). JPCSDZ 1742-6588 Google Scholar

14. 

D. Youchison et al., “High heat flux testing of a helium-cooled tungsten tube with porous foam,” Fusion Eng. Des., 82 (15–24), 1854 –1860 (2007). https://doi.org/10.1016/j.fusengdes.2007.04.004 FEDEEE 0920-3796 Google Scholar

15. 

P. Van Zwol et al., “Pellicle films supporting the ramp to HVM with EUV,” Proc. SPIE, 10451 104510O (2017). https://doi.org/10.1117/12.2280560 PSISDG 0277-786X Google Scholar

16. 

H.-C. Lee et al., “Temperature behavior of pellicles in extreme ultraviolet lithography,” J. Korean Phys. Soc., 61 (7), 1093 –1096 (2012). https://doi.org/10.3938/jkps.61.1093 KPSJAS 0374-4884 Google Scholar

17. 

C. H. Mastrangelo, Y.-C. Tai and R. S. Muller, “Thermophysical properties of low-residual stress, silicon-rich, LPCVD silicon nitride films,” Sens. Actuators A, 23 (1–3), 856 –860 (1990). https://doi.org/10.1016/0924-4247(90)87046-L Google Scholar

18. 

A. Kaushik, H. Kahn and A. H. Heuer, “Wafer-level mechanical characterization of silicon nitride MEMS,” J. Microelectromech. Syst., 14 (2), 359 –367 (2005). https://doi.org/10.1109/JMEMS.2004.839315 JMIYET 1057-7157 Google Scholar

19. 

J. Leifer and W. Belvin, “Prediction of wrinkle amplitudes in thin film membranes using finite element modeling,” in 44th AIAA/ASME/ASCE/AHS/ASC Struct. Struct. Dyn. and Mater. Conf., (2003). Google Scholar

20. 

C. Wang and X. Du, “Wrinkle analysis of space membrane structures and applications,” Int. J. Comput. Methods Eng. Sci. Mech., 8 (3), 159 –164 (2007). https://doi.org/10.1080/15502280701252594 Google Scholar

21. 

R. Ma, J. Zhang and Q.-S. Yang, “An analysis on transition of membrane wrinkles applying shell elements,” Eng. Mech., 28 (8), 70 –76 (2011). EFMEAH 0013-7944 Google Scholar

22. 

J. Gao et al., “Wrinkling of thin membrane under thermal loading,” in ASME 2006 Int. Mech. Eng. Congr. and Expos., 479 –485 (2006). Google Scholar

23. 

H.-H. Lee, Finite Element Simulations with ANSYS Workbench 2021: Theory, Applications, Case Studies, SDC Publications(2021). Google Scholar

24. 

M. Singh and J. J. Braat, “Design of multilayer extreme-ultraviolet mirrors for enhanced reflectivity,” Appl. Opt., 39 (13), 2189 –2197 (2000). https://doi.org/10.1364/AO.39.002189 APOPAI 0003-6935 Google Scholar

25. 

M. Lowisch et al., “Optics for EUV production,” Proc. SPIE, 7636 763603 (2010). https://doi.org/10.1117/12.848624 PSISDG 0277-786X Google Scholar

26. 

M. A. Soto and R. Venkatasubramanian, “ANSYS-based detailed thermo-mechanical modeling of complex thermoelectric power designs,” in ICT 2005. 24th Int. Conf. Thermoelectr., 2005, 219 –221 (2005). Google Scholar

27. 

J. Kim, H. Kim and J. Ahn, “Impact of residual stress on the deflection of extreme ultraviolet pellicles,” J. Micro/Nanopatterning Mater. Metrol., 20 (2), 024401 (2021). https://doi.org/10.1117/1.JMM.20.2.024401 Google Scholar

28. 

S. Gusev et al., “Thermal stability of a freestanding EUV filter under long-term vacuum annealing at 700–1000° C,” J. Surf. Investig.: X-ray Synchrotron Neutron Tech., 6 (3), 482 –486 (2012). https://doi.org/10.1134/S1027451012060134 Google Scholar

29. 

P. Van Zwol et al., “Emissivity of freestanding membranes with thin metal coatings,” J. Appl. Phys., 118 (21), 213107 (2015). https://doi.org/10.1063/1.4936851 JAPIAU 0021-8979 Google Scholar

30. 

J. Lasjaunias et al., “Low-temperature specific heat of MoSi2,” Phys. Rev. B, 37 (17), 10364 (1988). https://doi.org/10.1103/PhysRevB.37.10364 Google Scholar

31. 

H. Ftouni et al., “Thermal conductivity of silicon nitride membranes is not sensitive to stress,” Phys. Rev. B, 92 (12), 125439 (2015). https://doi.org/10.1103/PhysRevB.92.125439 Google Scholar

32. 

Jr T. Retajczyk and A. Sinha, “Elastic stiffness and thermal expansion coefficients of various refractory silicides and silicon nitride films,” Thin Solid Films, 70 (2), 241 –247 (1980). https://doi.org/10.1016/0040-6090(80)90364-8 THSFAP 0040-6090 Google Scholar

33. 

M. Fukuhara, K. Fukazawa and A. Fukawa, “Physical properties and cutting performance of silicon nitride ceramic,” Wear, 102 (3), 195 –210 (1985). https://doi.org/10.1016/0043-1648(85)90218-2 WEARCJ 0043-1648 Google Scholar

34. 

O. Thomas et al., “Molybdenum disilicide: crystal growth, thermal expansion and resistivity,” Solid State Commun., 55 (7), 629 –632 (1985). https://doi.org/10.1016/0038-1098(85)90827-0 SSCOA4 0038-1098 Google Scholar

35. 

G. M. Jacobsen et al., “Fabrication and characterization of zirconium silicide for application to gas-cooled fast reactors,” Nucl. Technol., 208 1 –10 (2021). https://doi.org/10.1080/00295450.2021.1877504 Google Scholar

36. 

R. Hao et al., “Theoretical prediction of structural stability, electronic and elastic properties of ZrSi2 under pressure,” RSC Adv., 5 (46), 36779 –36786 (2015). https://doi.org/10.1039/C5RA01964H Google Scholar

37. 

A. Varadarajan, Thermomechanical Property Evaluation of Molybdenum Alloys, ProQuest, Ann Arbor (2004). Google Scholar

38. 

V. R. Kar and S. K. Panda, “Large deformation bending analysis of functionally graded spherical shell using FEM,” Struct. Eng. Mech., 53 (4), 661 –679 (2015). https://doi.org/10.12989/sem.2015.53.4.661 SEGMEQ Google Scholar

39. 

M.-H. Jen and Y.-H. Wu, “Wrinkling of extensional thin films through modified large deflection equations analytically and experimentally,” AIP Adv., 5 (12), 127239 (2015). https://doi.org/10.1063/1.4939575 AAIDBI 2158-3226 Google Scholar

40. 

C. Turley et al., “EUV mask black border evolution,” Proc. SPIE, 9235 923513 (2014). https://doi.org/10.1117/12.2070047 PSISDG 0277-786X Google Scholar

41. 

L. N. Hadley and D. Dennison, “Reflection and transmission interference filters part I. theory,” J. Opt. Soc. Am., 37 (6), 451 –465 (1947). https://doi.org/10.1364/JOSA.37.000451 JOSAAH 0030-3941 Google Scholar

42. 

T. Shoki et al., “Process development of 6-in EUV mask with TaBN absorber,” Proc. SPIE, 4745 857 –864 (2002). https://doi.org/10.1117/12.477007 PSISDG 0277-786X Google Scholar

43. 

L. Devaraj et al., “Lithographic effects due to particles on high-NA EUV mask pellicle,” Proc. SPIE, 11177 111770V (2019). https://doi.org/10.1117/12.2534177 PSISDG 0277-786X Google Scholar

44. 

R. Huszank et al., “Determination of the density of silicon–nitride thin films by ion-beam analytical techniques (RBS, PIXE, STIM),” J. Radioanal. Nucl. Chem., 307 (1), 341 –346 (2016). https://doi.org/10.1007/s10967-015-4102-9 JRNCDM 0236-5731 Google Scholar

45. 

A. N. Christensen, “Crystal growth and characterization of the transition metal silicides MoSi2 and WSi2,” J. Cryst. Growth, 129 (1–2), 266 –268 (1993). https://doi.org/10.1016/0022-0248(93)90456-7 JCRGAE 0022-0248 Google Scholar

46. 

D. R. Lide, CRC Handbook of Chemistry and Physics, CRC Press, Florida (2004). Google Scholar

47. 

S. P. Timoshenko and S. Woinowsky-Krieger, Theory of Plates and Shells, McGraw-Hill, New York (1959). Google Scholar

48. 

S. Xu, “Thermal stress analysis of dissimilar welding joints by finite element method,” Procedia Eng., 15 3860 –3864 (2011). https://doi.org/10.1016/j.proeng.2011.08.722 Google Scholar

49. 

X. Liu et al., “EUV source-mask optimization for 7 nm node and beyond,” Proc. SPIE, 9048 90480Q (2014). https://doi.org/10.1117/12.2047584 PSISDG 0277-786X Google Scholar

50. 

C. Parazzoli et al., “Experimental verification and simulation of negative index of refraction using Snell’s law,” Phys. Rev. Lett, 90 (10), 107401 (2003). https://doi.org/10.1103/PhysRevLett.90.107401 Google Scholar

Biography

Junghwan Kim received his bachelor’s degree from the Department of Material Science and Engineering, Hanyang University (Seoul campus), Republic of Korea. Currently, he is pursuing the unified course of his master’s and doctoral degrees at Hanyang University. His current research interests include the mechanics of materials for EUV pellicles and masks.

Haneul Kim received his bachelor’s degree from the Department of Material Science and Engineering, Hanyang University (Seoul campus), Republic of Korea. Currently, he is pursuing the unified course of his master’s and doctoral degrees at Hanyang University. His current research interests include the fabrication process of EUV pellicle and property measurement of EUV pellicle.

Jinho Ahn received his BS and MS degrees from Seoul National University in 1986 and 1988, respectively, and his PhD from the University of Texas at Austin, in 1992, in materials science and engineering. He joined Hanyang University in 1995 as a professor of materials science and engineering. He has authored more than 160 papers and invented more than 50 patents. Currently, he is a director of the EUV-IUCC, a research center, to promote collaborative work for EUV lithography technology development.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Junghwan Kim, Haneul Kim, and Jinho Ahn "Impact of thermal expansion coefficient on the local tilt angle of extreme ultraviolet pellicle," Journal of Micro/Nanopatterning, Materials, and Metrology 21(1), 014401 (1 February 2022). https://doi.org/10.1117/1.JMM.21.1.014401
Received: 14 November 2021; Accepted: 10 January 2022; Published: 1 February 2022
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Pellicles

Extreme ultraviolet

Extreme ultraviolet lithography

Finite element methods

Refractive index

Silicon

Heat flux

RELATED CONTENT


Back to Top