Open Access
10 February 2024 Multi-beam mask writing opens up new fields of application, including curvilinear mask pattern for high numerical aperture extreme ultraviolet lithography
Author Affiliations +
Abstract

Multi-beam mask writers (MBMWs) from IMS Nanofabrication disrupted the mask writing technology in the past decade by offering this technology to the industry with a range of benefits over the preceding variable shaped beam technology. The MBMW-101 enabled write times independent of the pattern complexity, usage of low sensitivity resists at high throughput, and superior resolution and critical dimension uniformity (CDU) capabilities. With these benefits, the technology enabled high volume extreme ultraviolet (EUV) mask manufacturing and the use of inverse lithography technology (ILT) using curvilinear patterns for logic and memory applications to the industry. The MBMW-201 is today’s standard technology for leading edge photo mask patterning and is used in the most advanced mask shops around the globe. Its superior robustness and powerful write modes allow for unprecedented writing efficiency and resolution capability. Now IMS has broadened the spectrum of applications for this technology and released two new products. The MBMW-100 Flex is a versatile mask writer to open multi-beam benefits to mature and intermediate node applications at high throughput and beneficial total cost of ownership, targeting nodes from 32 nm to 10 nm. The MBMW-301 is the third generation leading edge mask writer for ultra-low sensitivity resists with resolution and CDU capabilities meeting EUV high numerical aperture requirements targeting nodes down to 2 nm and beyond. This article will delve into the transformational journey of multi-beam mask writing, from its early beginnings to its current status as the cornerstone of EUV mask production, and provide an overview of the two new models with performance data and lithography results.

1.

Introduction

As we mark the tenth anniversary of installing the world’s first electron multi-beam mask writer (MBMW) at IMS Nanofabrication (the follow up company of IMS–Ionen Mikrofabrikations Systeme GmbH),1 it is fitting to reflect on the revolutionary impact it has had on the semiconductor industry. In the past decade, the landscape of mask writing has been irrevocably transformed. Through the adoption of this technology, setting new standards and enabling of high-volume production of extreme ultraviolet (EUV) masks.

In 2013, IMS nanofabrication installed the MBMW Alpha tool—the first e-beam MBMW—that aimed to overcome the limitations of the then-prevalent variable shaped beam (VSB) writers.2

The VSB technology was struggling to keep pace as write times were projected to grow exponentially mainly due to two reasons: higher dose requirements of new resists and the increasing complexity of mask pattern due to the extensive use of optical proximity correction. The utilization of curvilinear features for inverse lithography technology (ILT) seemed unreachable with VSB technology.

These issues were all addressed in 2016 when IMS nanofabrication launched the high-volume manufacturing (HVM) version of the MBMW—the MBMW-101,3 heralding a new era in mask writing technology. This significant milestone in the journey of mask making was followed in 2019 when IMS enhanced this technology with the second generation of MBMWs that further increased throughput and improved resolution. This second-generation writer, the MBMW-201, is the most successful MBMW; it is used for EUV mask production for the 7 nm, 5 nm, and 3 nm nodes, and for research application for the 2 nm node.

2.

Approaches to Multi-beam Technology Today

2.1.

Multi-beam Mask Writing, the Fundamental Concept

Although many different designs for multi-beam writing were developed in the early 2000s,4 the design approach of IMS Nanofabrication was the only successful one; it has been widely adopted by the industry since 2016.5 In 2022, NuFlare Technology (NFT) also released a multi-beam mask writer to the industry. This system is based on the same fundamental IMS concept, illustrated in Fig. 1.

Fig. 1

Fundamental concept of multi-beam mask writing.

JM3_23_1_011205_f001.png

A single electron source generates a single beam of electrons. This beam is then collimated to a wide parallel beam in the condenser lens system. This wide parallel beam passes through the aperture plate system (APS), which consists of an aperture plate (APP) and a blanking chip (BLC).

The APP divides the beam into more than 260 thousand individual beamlets that then pass through the BLC. Using CMOS electronics combined with micro electro mechanical system (MEMS)-formed electrodes in the BLC, each individual beamlet can be deflected to hit the beam stopping plate in the lower section of the column. This allows for effectively switching individual beams on or off because, if deflected, they do not reach the substrate. By controlling the time of each individual beam’s deflection, different gray-levels, e.g., dose-control, can be realized.

Following the beam path through the projection optics, the system performs a 200× demagnification of the beam array onto the substrate. In tandem, the XY-Stage moves the reticle during exposure, and the beam steering deflector positions the beam array to precisely raster the designated exposure area. This approach effectively realizes a massively parallelized rastering spot-beam writer.

2.2.

Different Approaches to Acceleration Optics and Implications

Both systems available today are using the same design approach, as described in Sec. 2. Furthermore, the detailed configurations of the most relevant aspects are fully identical. This includes the acceleration voltage, demagnification factor, aperture sizes, beam pitch, number of beams, size of beam array, and consequently the size of the image field at the substrate. However, despite the many similarities, there are some significant differences that are crucial for the performance of the writer and even more for the scalability of the technology to future requirements. These differences are outlined in Fig. 2.

Fig. 2

Two concepts of the optical system and beam acceleration method used in today’s MBMWs. Concept A is the simpler design, whereas concept B is more complex and has clear advantages realized by a two-stage acceleration system and a stack of magnetic and electrostatic immersion lenses.

JM3_23_1_011205_f002.png

Fig. 3

Three important components of blur in multi-beam mask writing: (a) spherical aberration blur, (b) distortion-related positional blur, and (c) space charge-induced blur by beam current I.

JM3_23_1_011205_f003.png

2.3.

Superior Lifetime of Critical Components due to a Two-Stage Acceleration System

Although concept A, followed by NFT allows for a simple design in which the beam is fully accelerated to 50 keV above the APS, the design invented by IMS Nanofabrication, concept B, utilizes a two-stage acceleration in which the beam is initially accelerated to 5 keV and the acceleration to full energy is carried out within the projection optics.

At first glance, the simpler approach of concept A seems appealing and beneficial due its simplicity, as claimed recently.6 However, concept B has significant advantages with regards to the longevity of APS lifetime and general optics performance.

The first benefit is the absence of x-ray radiation at the APS in concept B. Here, the energy level remains adequately low; consequently, there is no ionizing radiation created at this stage that could harm the sensitive CMOS electronics of the BLC.

This allows concept B to perform without any risk of electronics damage for many years. The fleet-wide average lifetime is above 2.5 years; following a predictive maintenance approach, individual units have exceeded 5.5 years without any issues.

Although earlier tools following concept A could not deal with the radiation damage of the BLC electronics, recently lifetimes of 1 year were reported using mitigation strategies that limit the impact by radiation.6 Reducing the amount of ionizing radiation seems to be managed, but any remaining radiation can create electronics damage, leading to a slow but continuous APS degradation over time and thus impacting the write-performance quality such as the critical dimension uniformity (CDU) and pattern fidelity.

Finally, the more robust design following concept B allows for longer APS lifetimes and results in lower maintenance needs and potential cost savings by extending the components’ productive lifespan.

In addition to the APS lifetime, there is a second advantage in concept B that lies in the control of the beam acceleration within the projection system using a strategically placed combination of electrostatic immersion lenses and magnetic lenses, enabling reduced aberrations and beam distortion-related blur and thus superior imaging quality.

2.4.

Superior Aberration and Distortion-Related Blur due to Stack of Magnetic and Electrostatic Immersion Lenses

Different from light optics, in charged particle systems the resolution is not dominantly dependent on the illuminating wavelength. In charged particle systems, the Coulomb blur becomes the dominant factor to limiting the resolution when increasing the beam intensity required for high-throughput productivity. Accordingly, all other contributions to blur need to be reduced to the very minimum to achieve the minimum half pitch and assist features.

The following three contributors to blur (Fig. 3) define the resolution performance of multi-beam technology: spherical aberration blur, distortion-related positional blur, and Coulomb interaction or space charge blur. Each of these contributors needs to be minimized in best performance MBMW.

The two-stage acceleration system allows for the utilization of combinations of electrostatic immersion and magnetic lenses and presents distinct advantages in managing these blur types. It enables effective aberration correction and allows for the minimization of both aberration blur and beam field distortion at the same time.

Spherical aberrations, meaning a different focal length for paths close to electron-optical axis compared with paths further away from the axis, are a widely known phenomenon in optical systems. Magnetic lenses are an easy way to realize strong optical lenses and are typically used in many e-beam projection systems. Because they do not require any high voltage, but only a current generating the magnetic field, they are a simple and easy-to-control lens solution. However, due to the nature of how a magnetic field acts on a charged particle, magnetic lenses always act as a focusing lens, never as a divergent lens. In consequence, they alone cannot realize good aberration control with the method described in Fig. 4.

Fig. 4

Utilization of divergent electrostatic lenses allows us to realize a concept for aberration correction, commonly known in light optics, in the charged particle domain. By combining concave and convex lenses, this approach compensates for spherical aberrations and minimizes beam field distortion, two contributors for increasing blur and consequently limiting resolution.

JM3_23_1_011205_f004.png

Although the beam distortion in most electron optics applications only causes a displacement, in multi-beam applications, beam field distortion is a significant contribution to the total blur. Multi-beam technology makes use of massive averaging to limit imperfections of individual beamlets. This averaging overlaps different regions of the image field to write a single structure on the mask. By that, different regions of the image field are locally combined on the mask. With a larger residual distortion, the individual local displacements result in an additional blur component, as illustrated in Fig. 5.

Fig. 5

Effects of distortion of the beam array on the writing quality. On the top, representing concept A, a higher distortion is shown in red. When multiple regions of the beam array are overlapped to write a structure, as in multi-beam writing processes by, e.g., multi-passing or overlapping shots, this displacement between individual contributions results in a broader blur distribution, as illustrated with the envelope in green. Conversely, when the distortion is minimized, this effect is substantially reduced (concept B).

JM3_23_1_011205_f005.png

Upon inspecting overlapping passes closely, we find that registration remains surprisingly unaffected due to the center of the averaging. However, other parameters such as blur, dose slope, CDU, pattern-fidelity, resolution, and process window suffer from this higher distortion.

Controlling aberration and distortion blur together is the general challenge in multi-beam writing; together they define the electron optics baseline. On top, we need to add the Coulomb or space-charge related blur that is dependent on the current through the projection optics. It becomes evident that having a lower electron optics baseline allows a higher current in the projection system to be accepted without compromising the resolution, as illustrated in Fig. 6. The resolution, on the other hand, is the key to resolving small feature sizes and achieving small half-pitch dimensions as needed for the next generation EUV and high numerical aperture (High-NA) EUV masks.

Fig. 6

Comparison of blur performance with different concepts. The aberration correction and low distortion blur allow concept B to operate at a higher beam current to meet the same blur value.

JM3_23_1_011205_f006.png

In summary, concept B’s two-stage acceleration system ensures maximum APS health due to the absence of radiation and guarantees the lowest possible aberrations and distortion, thereby proving superior resolution and throughput.

3.

Multi-Beam Mask Writing – Practical Writing Capability

As mentioned, mask-making for the next generation of EUV-lithography needs to resolve tiny curvilinear dimensions to generate ILT masks at different pattern densities. The previous chapters characterized the electron-optical column and the resulting beamlets quality, which, in the e-beam lithography process, defines the writing properties and the resolution possibilities of the MBMW tool. The distribution of the beamlet electrons impinging/projected on the resist surface defines the aerial image that directly predestines the geometry of the future/design pattern in the resist. This two-dimensional form of the aerial image already contains all described parasitics from aberrations having the origin in the optical system and guarantees the written resist pattern fidelity. The open question is how to judge the quality of the projected aerial image on the resist surface. In the lithography realm, there are more post-exposure steps used to create the resulting pattern. The areal image is transferred into a three-dimensional form of a latent image. This is the resist volume below the aerial image that is differentiated by radiation-chemical reactions. The latent image is still not easily measurable. The first information about the resulting pattern is obtained from high-resolution metrology critical dimension scanning electron microscopy (CD-SEM) of the final resist profile after resist development. So, the ultimate resolution is set by the high quality of the electron optics, but this is only the first step in a series of statistical physical processes during the mask production. The resulting resolution and CD-uniformity, in addition to the beamlets quality and writing strategies, may become limited by the combination of (i) electronic events in nature (e-scattering, shot noise, secondary electrons generation, and electron energy loss), (ii) chemical events in nature (resist composition and radiation-chemical processes, contamination, charging, and outgassing), (iii) pre-and post-exposure processing (coating, baking, and development), and (iv) the pattern transfer process into the mask absorber stack. The relative contribution of these factors is not well known, but their overall effect can be holistically modeled and numerically evaluated for the actual case. Detailed resist screening delivers information about the main lithographic parameters used later in the basic exposure optimization—proximity effect (PE) correction, fogging effect (FE) correction, etc.7 From the patterning fidelity (resist feature control) point of view, the most interesting question is the transition of the aerial image created by beamlets into the real resist relief image.

There are more knobs to twist and more parameters to control, meaning more things can go wrong. This calls for more measurements, more accurate measurements, and more information from the measurements and calculations. The most essential single metric for estimating the tool/resist/process quality is probably the precise measurement of the dose slope of equal lines/spaces exposed and processed in the resist. The flatter the slope is, the better (smaller) the total blur is, and the smaller the short-range effects are, thereby enhancing the pattern edge definition. The higher the short-range effect (blur) is, the lower the ultimate accessible resolution is; as a direct consequence, more aggressive writing correction in the whole exposure procedure will be necessary.

The writing capabilities of the MBMW tool were performed (without any resolution enhancement technique) on different mask absorber-stack compositions using a curvilinear test pattern resembling a “Bucky-Ball” (Fig. 7). Its shape is widely known and, therefore, easy to inspect for any irregularities. The mask blanks from HOYA were coated by HOYA with an advanced positive chemically amplified resist (pCAR) provided by FUJIFILM (Base-Dose @ 50% pattern density 155  μC/cm28).

Fig. 7

CD-SEM resist images of the curvilinear pattern design “Bucky-Ball” written in pCAR (FUJIFILM) in clear-tone (a) and opaque-tone (b) on EUV substrates from HOYA. Critical pattern dimensions (line width=17  nm) are marked by arrows.

JM3_23_1_011205_f007.png

A more precise analysis of feature details [resolution limits, CD-uniformity, and line edge roughness (LER)] is granted after the resist patterns are carefully, with minimum bias, transferred into the absorber. For this purpose, HOYA kindly arranged the etching of our test patterns into the phase-shifting mask layer (Fig. 8).

Fig. 8

High resolution tilted SEM captures from the test pattern in Fig. 7 after etching into the mask-absorber (a) with details at higher magnification (b). The captures exhibit excellent 3-D pattern transfer (17 nm in resist versus 16.8 nm after etch) with a minimal pattern distortion and etch bias and acceptable line edge roughness (LER).

JM3_23_1_011205_f008.png

4.

Extending Multi-beam Mask Writing to New Fields

Its low beam field distortion and aberration, which allows for high-resolution patterning at high throughput, make MBMW-201 the most successful MBMW. This year, IMS released two new product lines to extend the multi-beam technology to new fields of application; see Fig. 9.

Fig. 9

Three products provided by IMS Nanofabrication in 2023: the MBMW-100 Flex for mature nodes, the MBMW-201 for most advanced nodes in 2023, and the MBMW-301 for leading edge nodes.

JM3_23_1_011205_f009.png

4.1.

MBMW-100 Flex Enabling Multi-beam for Mature and Advanced Nodes Applications

There is a significant growth in chips in the mature nodes, mainly driven by the automotive industry, which requires highly efficient mask writers. Here, MBMW-100 Flex can deliver many advantages from multi-beam technology to applications with mature and intermediate nodes, allowing it to avoid running into the limitations of VSB technology. Based on the well-established MBMW-201 platform, MBMW-100 Flex was optimized for mature and intermediates node application requirements providing three different write modes to be applied for 32 nm node masks up to 10 nm node masks at write times of 3 to 5 h (mask writing field of 104×132  mm2). The mask time varies with the chosen write mode, independent of pattern complexity.

This tool is designed for use with a wide range of different resists, from high sensitivity resists, starting at 10  μC/cm2, to medium and low sensitivity resists of up to around 50  μC/cm2. The newly developed electron beam source (eSource) system and write modes were specifically designed to meet these requirements. In addition to the new eSource, the Flex tool mostly builds on the hardware of the MBMW-201, with its demonstrated performance and durability over many years.

The MBMW-100 Flex was released in the second quarter of 2023, and the first unit was installed at the customer.

4.2.

MBMW-301 Redefining Leading Edge Mask Writer

The MBMW-301 is a revolutionary step in mask writer capabilities and comes with the next generation APS, a substantially smaller spot size, and a significantly faster data path. This technological advancement is required to meet the requirements for 2 nm node and below and High-NA EUV lithography,9 with regards to placement, CDU, and resolution for half pitch and assist features below 20 nm, while keeping the write time comparable to the predecessor.

With that, the MBMW-301 represents a revolutionary step for mask writing technology, embodying significant advancements over other mask writers. These enhancements promise to redefine industry benchmarks and ensure unmatched precision and efficiency in mask writing processes.

The MBMW-301 utilizes an evolved eSource, which increases the current density on the substrate by a factor of two compared with the MBMW-201. This higher current density in combination with the efficient writing strategy is essential to achieving the write time requirements on ultra-low sensitivity resists. The third generation APS controls close to 600,000 individual electron beamlets at a three times higher data rate compared with previous models. The tool’s air-bearing stage from JEOL allows for writing at double the stage speed at the required accuracy.

The MBMW-301 Alpha tool is currently in operation, and Beta tools are being shipped to clients. High volume manufacturing (HVM) units will be ready for deployment in the first quarter of 2024.

Acknowledgments

The authors thank contributing researchers Taku Hirayama and Kazumori Ono from HOYA Corporation for the mask blanks, resist coating, and etching process and Key Yamamoto and Hideo Nagasaki from FUJIFILM for providing the advanced pCAR and processes used during this work (data cannot be provided). This paper is based on a large part on a previous presentation10 and publication.11

References

1. 

E. Platzgummer, “Maskless lithography and nanopatterning with electron and ion multibeam projection,” Proc. SPIE, 7637 763703 https://doi.org/10.1117/12.852226 PSISDG 0277-786X (2010). Google Scholar

2. 

S. H. Lee et al., “Mask writing time explosion and its effect on CD control in e-beam lithography,” Proc. SPIE, 7748 77480J https://doi.org/10.1117/12.868264 PSISDG 0277-786X (2010). Google Scholar

3. 

C. Klein and E. Platzgummer, “MBMW-101: World’s 1st high-throughput multi-beam mask writer,” Proc. SPIE, 9985 998505 https://doi.org/10.1117/12.2243638 PSISDG 0277-786X (2016). Google Scholar

4. 

E. Platzgummer, C. Klein and H. Loeschner, “Electron multibeam technology for mask and wafer writing at 0.1 nm address grid,” J. Micro/Nanolithogr. MEMS MOEMS, 12 (3), 031108 https://doi.org/10.1117/1.JMM.12.3.031108 (2013). Google Scholar

5. 

M. Chandramouli et al., “Development and deployment of advanced multi-beam mask writer,” Proc. SPIE, 11324 113240K https://doi.org/10.1117/12.2556552 PSISDG 0277-786X (2020). Google Scholar

6. 

J. Yasuda et al., “Recent progress and future of electron multi-beam mask writer,” Jpn. J. Appl. Phys., 62 SG0803 https://doi.org/10.35848/1347-4065/acb65d (2023). Google Scholar

7. 

P. Hudek et al., “Multi-beam mask writer exposure optimization for EUV mask stacks,” J. Micro/Nanopattern. Mater. and Metrol., 20 (4), 041402 https://doi.org/10.1117/1.JMM.20.4.041402 (2021). Google Scholar

8. 

K. Yamamoto et al., “Study of EB resist dissolution contrast and chemical blur impact on the ultimate resolution,” Proc. SPIE, 12915 129150B https://doi.org/10.1117/12.2685159 PSISDG 0277-786X (2023). Google Scholar

9. 

B. Shamoun et al., “Multi-beam mask writer in EUV era: challenges and opportunities,” Proc. SPIE, 11610 116100Q https://doi.org/10.1117/12.2586863 PSISDG 0277-786X (2021). Google Scholar

10. 

P. Hudek, “Exposure optimization used in Multi-Beam Mask Writer (MBMW) for leading-edge mask patterning,” in 18th Fraunhofer IISB Lithography Simul. Workshop, (unpublishe). Google Scholar

11. 

M. Tomandl et al., “Multi-beam mask writing opens up new fields of application,” Proc. SPIE, 12802 1280204 https://doi.org/10.1117/12.2678532 PSISDG 0277-786X (2023). Google Scholar

Biography

Mathias Tomandl joined IMS Nanofabrication in 2015 as project manager for the introduction of multi-beam mask writers at the first customers. As director of customer relations and products, he is now responsible for technical account management, equipment delivery management, and product management at IMS. He holds a PhD in physics from the University Vienna, Austria.

Christoph Spengler joined IMS in 2014 as physics modeling and simulation engineer. As a technical generalist and team leader, he played a pivotal role in realizing the first multi-beam mask-writers. Promoted to head of the Department of Optics and System Engineering in 2021, he later became R&D senior director in 2023. He is now in charge of system architecture, optics, and software development. He holds a PhD in physics from the University of Vienna.

Peter Hudek joined IMS Nanofabrication in 2013 as technical director of application. His primary research area has evolved to encompass both theoretical and experimental work in the field of microlithography and pattern transfer techniques. His responsibilities include metrology, analysis, simulation, and modeling, as well as the development of conformal patterning methods in advanced mask writing. He holds a PhD in physics and mathematics from the Technical University of Bratislava and the RWTH Aachen.

Christof Klein joined IMS Nanofabrication in August 2006 as project manager of its electron multi-beam technology efforts. After successful completion of two EU-funded projects, he became head of products and projects, where he was responsible for product management and customer projects. Since 2022, he has been chief operating officer, where he is additionally in charge of all multi-beam mask writer manufacturing and assembly as well as global service and support.

Hans Loeschner was co-founder of IMS - Ionen Mikrofabrikations Systeme GmbH in 1985 and of IMS Nanofabrication GmbH in 2001. Since 2015, he has been senior advisor at IMS. He received the 2012 MNE Fellowship Award “for the advancement of electron and ion beam technologies,” and the 2017 BACUS Prize “for work and influence to develop and commercialize Multi-Beam photomask lithography tools.” He holds a PhD degree in experimental physics from the University of Vienna.

Elmar Platzgummer received his physics PhD 1999 from Vienna University of Technology, investigating the stoichiometry and nano-structure of metal-alloys and the optimization of surface science analytical tools. He joined IMS in 1999 and led the development of its multi-beam technology and its application fields. He generated many patents laying the foundation of the company’s leading position. In 2005, he became CTO and COO of IMS. Since 2012, he has been CEO of IMS Nanofabrication.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Mathias Tomandl, Christoph Spengler, Peter Hudek, Christof Klein, Hans Loeschner, and Elmar Platzgummer "Multi-beam mask writing opens up new fields of application, including curvilinear mask pattern for high numerical aperture extreme ultraviolet lithography," Journal of Micro/Nanopatterning, Materials, and Metrology 23(1), 011205 (10 February 2024). https://doi.org/10.1117/1.JMM.23.1.011205
Received: 8 November 2023; Accepted: 19 January 2024; Published: 10 February 2024
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Distortion

Lenses

Industry

Nanofabrication

Industrial applications

Magnetism

RELATED CONTENT

EUV optics at ZEISS: status and outlook
Proceedings of SPIE (December 01 2022)
Multi-beam mask writing opens up new fields of application
Proceedings of SPIE (October 05 2023)
Aberration correction for charged particle lithography
Proceedings of SPIE (December 21 2001)
EUV pattern shift compensation strategies
Proceedings of SPIE (March 20 2008)

Back to Top