Towards hyper-NA lithography, the mask blank and mask topography have the opportunity to be optimized for imaging performance. At the resolution limit of hyper-NA imaging, depth of focus and MEEF become critical for conventional mask stacks. Although conventional binary masks (BIM) are the simplest and the most cost-effective to manufacture, other mask types can provide better imaging performance.
This study explores the feasibility and imaging performance of an embedded binary mask (EBM). The EBM emphasizes the simple binary manufacturing process with the application of an additional transparent layer. Two types of EBM's, topographic and planar, were evaluated. The mask diffraction properties are studied by both measurements using an ellipsometer (Woollam VUV-VASE) and simulations using Solid-E 3.2.0.2 (Sigma-C). In this first phase, the imaging performance is assessed by rigorous simulations for three different illumination conditions (cross-quad, quasar and annular). By comparing metrics such as contrast, NILS, MEEF, and process windows, simulations determined that an optimized topographic EBM has a better overall through-pitch imaging performance than a conventional binary mask. This preliminary investigation suggests that an embedded binary mask may be considered as an RET option for hyper-NA imaging improvement.
KEYWORDS: Photomasks, Ions, Etching, Ion beams, Lithography, Molecules, Electron beams, Image resolution, Scanning electron microscopy, Signal to noise ratio
The efficacy of currently available repair techniques has been assessed for a wide variety of defect types encountered on advanced lithographic masks. Focused ion beam (FIB) with gas-assisted etching and deposition, electron beam induced chemical processing (EBIC), and atomic force microscope based nano-machining (RAVE) were among the different methodologies evaluated. Various types of optical phase-shifting masks for the 45nm lithographic node, as well as nano-imprint lithography (NIL) templates, were used as test vehicles. Defect imaging resolution, spatial process confinement, repair edge placement, end-pointing control, sample damage (undesired changes in topographic or optical properties), and future extendibility served as the primary metrics for gauging repair performance. The primary aim of this study was to provide a single "snapshot" in time of the current development status of each tool for the context of 45nm node mask repair specifications and by no means were there any expectations for a final solution to already be commercially available. However, the results obtained from these tests should provide useful feedback and information to help improve the learning cycle for the development of 45nm lithographic node mask repair systems.
Mask manufacturers and mask users continue to pursue improvements in mask inspection and qualification processes driving standards to guarantee the highest performance of advanced photomasks while maintaining a high degree of predictability of turn-around-time.
Simulation-based defect analysis and dispositioning has become an area of much interest for both mask manufacturers and mask users. Repairing only the defects that impact wafer level performance (lithographically significant) improves both mask cycle time and eliminates unnecessary and costly repairs. Mask maker and mask users can utilize defect simulation as a common standard by which to benchmark the quality of results. We report in this paper the results of a joint evaluation of the i-Virtual Stepper system (i-VSS) the automated simulation based defect dispositioning software solution in an advanced photomask qualification flow. Results discussed include the optimization and automation of the mask inspection flow using i-VSS, simulation accuracy comparisons of i-VSS versus AIMS versus wafer printability for binary and phase shifting masks at 130nm, 90nm, and 65nm technology nodes, and a comparison of the iVirtual Stepper system's automated defect severity scoring (ADSS) versus manual defect dispositioning.
CPLTM Technology is a promising resolution enhancement technique (RET) to increase the lithography process window at small feature line widths. Successful introduction of a reticle based RET needs to address several reticle manufacturing areas. One key area is reticle inspection. A CPL reticle inspection study has been completed and a best known methodology (BKM) devised. Use of currently available inspection tools and options provides a robust solution for die-to-die inspection. Die-to-database inspection challenges and solutions for optically completed CPL reticles are discussed.
Core to the devised BKM is the concept of in-process inspections where the highest sensitivity inspection may not necessarily be performed after the last manufacturing step. The rationale for this BKM is explained in terms of actual manufacturing process flow and most likely defect sources. This rationale also has implications for programmed defect test mask designs in that the choice of defect types need to be linked to a plausible source in the manufacturing process. Often, the choice of a programmed defect type ignores the fact that a naturally occurring defect's origin is early in the manufacturing process and would be detected and either repaired or the reticle rejected before subsequent manufacturing steps. Therefore, certain programmed defect types may not be representative of what should be expected on a production mask. Examples such defects are discussed.
Repair of etched quartz defects on AAPSM products negatively affect manufacturability in the mask shop. Currently there are few solutions to repair etched quartz defects, two of these include mechanical removal or a combination of topography mapping and FIB milling of the defect. Both of the above methods involve large capital investments specifically for etched quartz repair. The method presented in this study readily repairs etched quartz without the need to purchase additional tools for AAPSM repair.
Photronics' Advanced Materials Program has developed a transparent etch stop layer (TESL) integrated into the binary blank for the purpose of building AAPSM products with a high yield component. This etch stop layer is located under a layer of sputtered SiO2 deposited to 180° for a given lithography wavelength. These blanks can be used for a variety of etched quartz applications including cPSM and CPL.
Photronics has developed software that reads in defect locations from automatic inspection tools and the jobdeck. A "repair" layer is created for the defect file and the plate is then re-exposed on the mask lithography tool. The defects are then etched away using the etch stop to control the phase of the surrounding trench.
The repair method was tested using programmed defect masks from single etched 193nm AAPSM technologies. Inspection, SEM, AIMS and profilometry results will be shown.
With ever shrinking k1 lithography, overall reticle quality is paramount to ensure high quality image transfer. State-of-the-art reticle inspection systems play two vital roles in reticle manufacturing: quality assurance and manufacturing process feedback. For quality assurance, the system must be capable of detecting all defects of interest to the end user - defects that repeatedly print on wafer, and also those that may reduce the lithography process window. For process monitoring and improvement, the system must be capable of detecting defects at or near the manufacturing limits of mask manufacturing. In order to meet both needs, an inspection system must detect all defect types including pattern errors and contaminates on all mask surfaces including chrome, quartz, and shifter materials. A new advanced inspection method compares both transmitted and reflected light images to the design database. This comprehensive inspection method detects numerous defects that would be missed in a transmitted or reflected only inspection tool.
In this study we have tested a new method for detecting reticle defects. Inspection results will be shown from a programmed defect test vehicle as well as a production reticle.
The extension of dry-ArF optical lithography to the 65nm node imposes many challenges to photomask makers. More than likely a flavor of Alternating Aperture Phase Shift Masks (AAPSM) will be used on the most advanced lithography layers. Although the development of AAPSM masks has validated the resolution improvement, production issues are still present. Strategies for defect inspection, disposition, and repair of quartz defects at this technology node are still in development. A comprehensive strategy for the disposition and repair of quartz defects on AAPSM photomasks will be presented. The work utilizes a 65nm node, 193nm lithography based, AAPSM programmed defect mask comprised of multiple defect types at multiple quartz depths. A strong emphasis for the work is given to 60-degree phase defects. Both a Zeiss AIMSfab 193 aerial image microscope and a FEI SNP9000 scanning probe metrology tool is used to disposition the defects. The printability of defects at various illumination settings will also be reported. Repair solutions for the defects that do “print” is also presented.
Alternating phase shift masks (alt. PSM) are emerging as an attractive resolution enhancement technique. Although alt. PSM is a technique that clearly improves resolution, there are some inherent disadvantages that are induced by the manufacturing process. Intensity imbalance, phase non-uniformity and quartz defects diminish the performance of an alternating PSM. Many of these disadvantages can be a result of imprecise quartz etching. By implementing a transparent etch stop layer, these deficiencies can be minimized. The etch stop layer ensures that all of the quartz is etched and that over-etching will not induce a phase-shift error. This produces improved phase uniformity and eliminates quartz defects. The etch stop layer also has the ability to improve the image intensity balancing by reducing the intensity through the zero degree region. This paper discusses the advantages and manufacturability of alt. PSM using a transparent etch stop layer.
Current commercially available 193nm Embedded Attenuated Phase Shift Mask (EAPSM) blanks are MoSiON-based. In order to obtain the appropriate optical properties of 6% transmission and 180-degree phase shift at 193nm wavelength, these films are built very thin and subsequently have very high transmission at longer wavelengths. Current inspection tools use 364nm as the inspection wavelength; therefore the high transmission of the commercial blanks (>50% at 365nm) causes sensitivity problems in current high-end inspection tools. This problem is only fixed by costly upgrades to the current inspection tools, resulting in much higher mask costs. Photronics, Inc. has developed an alternative film stack that obtains the appropriate optical properties at 193nm (6%T and 180-degree phase shift). This film stack has a relatively low transmission (<15%) at the inspection tool wavelength in comparison to the commercial blanks enabling improved inspection performance with the current tool set. This paper outlines the development of new 193nm EAPSM blanks, the processing of these masks, and the resulting inspection performance in comparison to the commercial EAPSM blanks.
The minimum gate pitch for the 65nm device node will push 193nm lithography toward k1 ~ 0.35 with NA = 0.85. Previous work has analyzed the challenges expected for this generation. However, in the simplest terms, optical lithography for the 65nm node will be difficult. Lithographers are, therefore, looking into high-transmission attenuated phase shift mask (high-T attPSM), where T > 14%, to improve process margins. The benefits of a high-t attPSM are substantial, but drawbacks like inspection difficulty, defect free blanks manufacture, and sidelobe printing may make the use of such masks impractical. One possible solution to this problem is to employ medium transmission (med-T) attPSM, such as T = 9%, to image critical levels of the 65nm node with 193nm lithography. Earlier work shows that the problems High-T attPSMs face are manageable for med-T attPSM. Sidelobe printing in particular will be treated in this work with simulation and experiment. A primary goal of this effort is to determine if the lithographic benefit of moving from industry-standard 6% attPSM to 9% attPSM is worth the risks associated with such a transition. This goal will be met through a direct comparison of experimental 0.75NA 193nm λ results for 6% versus 9% attPSM on gate, contact/via, and metal layers at 65nm generation target dimensions with leading edge resists. Additional information on the inspectability and reticle blank manufacture of % AttPSM will also be given to provide a cohesive analysis of the transition tradeoffs.
One of the major challenges in alternating aperture phase shift mask (AAPSM) production is the variability of the glass etch rate as a function of exposed area (pattern loading) on the mask. The lack of an endpoint system means that the etch is entirely based on time, and the result is increased variability in the mean etch depth as well as decreased yields against ever tightening phase specifications. If a transmissive etch stop layer were placed underneath an appropriate thickness of glass to obtain a 180-degree phase shift, the result is a forced endpoint at exactly 180 degrees every time. Such a film system also leads to many process advantages over conventional AAPSM processes. This paper discusses the film stack deposition and maskmaking at Photronics, Inc. and details the process advantages of using AAPSM blanks with etch stop layers.
Accurate defect characterization is becoming increasingly more important with the increased implementation of AAPSM applications. Quartz bump/divot defectivity adds a third dimension to the historical definition of photomask defects that included only size and transmission. This new dimension is phase. Past studies have suggested that significant phase degradation occurs even at smaller defect sizes. This characterization is tied closely with the defect capture capability of photomask inspection. Inspection tool sensitivity to phase defects is increasingly important for at least two reasons: the danger of catastrophic defects printing on the wafer, and the newness of these types of defects to the photomask-making community at large. This experiment utilizes two distinct forms of defect characterization -- SEM sizing and surface profilometry. Programmed defect test masks were manufactured for phase shifting properties at both 248nm and 193nm exposure wavelengths. The defects were etched at multiple depths resulting in a variety of phase angle errors. This study will examine the effects of phase degradation on smaller defects along with defect capture from automatic inspection tools.
As AAPSM becomes more widely utilized, the need for defect inspection sensitivity becomes more critical. In addition, accurate defect characterization must be performed to encompass new effects caused by glass defects. Historically, defect size and position have been the two characteristics that were examined when determining inspection tool sensitivity. Because of the nature of AAPSM defects, phase is a factor that must be taken into account. This experiment utilizes two distinct forms of defect characterization -- SEM sizing, and surface profilometry. Programmed defect test masks were manufactured for phase shifting properties at both 248nm and 193nm exposure wavelengths. The defects were also etched at multiple depths resulting in a variety of phase angle errors. Utilizing the two characterization methods mentioned above, the automatic defect inspection tool's sensitivity on multiple programmed defects will be investigated.
Dark field (i.e. hole and trench layer) lithographic capability is lagging that of bright field. The most common dark field solution utilizes a biased-up, standard 6% attenuated phase shift mask (PSM) with an under-exposure technique to eliminate side lobes. However, this method produces large optical proximity effects and fails to address the huge mask error enhancement factor (MEEF) associated with dark field layers. It also neglects to provide a dark field lithographic solution beyond the 130nm technology node, which must serve two purposes: 1) to increase resolution without reducing depth of focus, and 2) to reduce the MEEF. Previous studies have shown that by increasing the background transmission in dark field applications, a corresponding decrease in the MEEF was observed. Nevertheless, this technique creates background leakage problems not easily solved without an effective opaqueing scheme. This paper will demonstrate the advantages of high transmission lithography with various approaches. By using chromeless dark field scattering bars around contacts for image contrast and chromeless diffraction gratings in the background, high transmission dark field lithography is made possible. This novel layout strategy combined with a new, very high transmission attenuating layer provides a dark field PSM solution that extends 248nm lithography capabilities beyond what was previously anticipated. It is also more manufacturing-friendly in the mask operation due to the absence of tri-tone array features.
Lithography simulation is being used in a wide range of applications to help lithographers solve an equally wide range of problems. A necessary input to optical lithography simulation is the specification of the mask transmittance function, m(x,y), which forms the basis for the aerial image calculation. Various methods are used to specify m(x,y). The choice of method depends, in part, on the capabilities of the simulation software package and the available information. To maximize effectiveness, efficiency and accuracy, users should choose a method of specifying m(x,y) which considers the requirements of their application. In many cases, a simple expression for m(x,y) is all that is needed. In other cases, finer detail is desirable or even necessary. This paper reviews many techniques to generate m(x,y) for the PROLITH family of lithography simulators and presents current research for the defect printability application.
This study explores the capability of printing 100 nm contacts through the use of 9% and 15% attenuated phase shift masks and a 0.75 NA 193 nm scanner. The mask designs targeted simultaneous solutions for 100 nm contacts at pitches from 200 nm to 300 nm. The two masks were successfully manufactured from experimental MoSiON embedded-attenuated phase shift mask (EAPSM) blanks. The 100 nm contacts were successfully printed with a depth of focus (DOF) from 0.1-0.7 μm. Overlapping process windows were not achieved but were possible upon adjustment of the mask biases. The observed mask error enhancement factor (MEEF) was approximately 3 for the 220 nm pitch. Side lobe printing was not observed for either mask.
Today's wafer fabs are trying to extend KrF lithography as far as possible and soon, ArF lithography will be in the same situation. To accomplish this extension, many reticle enhancement techniques (RETs) are being investigated for their capability and cost of ownership. In addition to the more common forms of RETs used today (eg. - OPC, EAPSM, etc.), chromeless phase lithography (CPL) is now being considered as a viable solution to achieving higher resolution and better process window. CPL is a type of alternating aperture phase shift mask (AAPSM) that uses minimal or no chrome. The features formed with CPL masks leverage parallel lines of etched and unetched glass to print tighter pitch lines and spaces than were originally possible with binary masks. The need to control glass defects on exposed glass shifter edges puts defect inspection in the critical path for making this technology successful.
This study focuses on the practical inspection capability of CPL masks scanning by an automatic inspection tools was achieved. A programmed defect test mask was fabricated, designed for the 90nm lithography node, and inspection techniques were refined to measure the sensitivity across the existing inspection tool base for this technology.
Reticle pinhole defects below 200nm are problematic from several standpoints. The wafer manufacturer presents a specification to the reticle producer, who in turn charges the inspection tool vendors with the task of detecting pinholes of a given size. The measurement of these pinholes, especially on programmed defect test masks, becomes critical to the success of this flow. Measuring the size of these small pinholes using the current SEM method often produces inconsistent results when compared to pinhole printability. Early studies have suggested that since the SEM measures only the top surface of the pinhole, the measurement does not account for edge wall angle and partial filling which reduces the pinhole transmission and subsequent printability. This investigation focuses on several transmitted light approaches for reticle pinhole measurement on programmed defect masks. An attempt to correlate these methods back to traditional SEM and optical sizing methods will also be attempted.
With the approach of the 100nm-lithography node, an accurate and reliable method of measuring reticle pinhole defects becomes necessary to assess the capabilities of high-end reticle inspection equipment. The current measurement method of programmed defect pinholes consists of using a SEM. While this method is repeatable, it does not reliably represent the true nature of a pinhole. Earlier studies have suggested that since the SEM images only a top down view of the pinhole, the measurement does not accurately account for edge wall angle and partial filling which both reduce pinhole transmission and subsequent printability. Since wafer lithography and reticle inspection tools use transmitted illumination, pinhole detection performance based on SEM measurements is often erroneous. In this study, a pinhole test reticle was manufactured to further characterize the capabilities of a transmission method to measure pinholes.
Manufacturing technology in the photomask process is critical for building devices of today's specifications. However, when building masks for equipment suppliers, it is necessary to provide capability at least two years in advance of current requirements. Of particular interest are masks used to characterize and benchmark inspection tools. This paper demonstrates and compares the mask processing capabilities of 50keV e-beam writing platforms in the effort to build a new inspection test mask pattern. Both standard and OPC patterns will be examined to understand the impact of each to the mask manufacturer. In addition to 50keV e- beam platforms, complementary high-end process and metrology tools will be utilized and reported.
The Chromeless Phase Shift Mask (CLM) approach from ASML MaskTools has been developed as an approach to achieve sub-100nm lithography using currently available stepper technology. The technology uses sub-resolution gray-scaled regions of zero-phase and pi-phase quartz on the mask to produce effective feature widths well below 100nm at the wafer. The features on the mask consist entirely of etched and unetched quartz. No features consist of chrome on the mask. The integration of this type of phase shift mask technology into the photomask-manufacturing environment requires that the mask manufacturer be able to inspect the mask for defects in the quartz. The Defect Sensitivity Monitor (DSM) pattern was used to construct a CLM mask. The mask was inspected using commercially available inspection platforms, and the resulting inspection capability is reported.
With the increased resolution of today's lithography processes, reticle pinhole defects are much more printable. Measuring the size of small pinholes using the current SEM method often produces erroneous results when compared to pinhole energy transmission. This is mainly due to the fact that SEMs do not accurately account for edge wall angle and partial filling which can dramatically reduce the pinhole transmission and subsequent printability. Since reticle inspection tools, like wafer steppers and scanners, use transmitted illumination, pinhole detection performance based upon top surface SEM defect sizing is often erroneous for small pinhole diameters. This study first uses simulation to predict printability. Then, a pinhole test reticle is developed with a variety of sub-200nm pinholes. The reticle pinholes are measured with an improved method incorporating transmission and imaged to wafer in order to assess printability.
As critical features continue to shrink, image fidelity on a wafer becomes even more important to the performance of an integrated circuit. There are several strategies that are typically employed to produce the best possible features. These include OPC, off-axis illumination and the ever-increasing numerical aperture, neglecting the pattern fidelity of the reticle. Several methodologies exist to characterize the fidelity of these features on both wafer and reticle. This paper will attempt to correlate the area measurements of contacts from a reticle using a scanning electron microscope, an automated visual inspection measurement system and an image based algorithm; and discuss their practical applications for manufacturing.
Small contact holes are the most difficult structures for microlithography to print because their sizes match the resolution of the stepper. Thus a mask hole that is a little too small won't print at all. It is widely accepted that the size of the contact hole on the wafer is controlled by the total light flux that gets through the contact hole on the mask. This is demonstrated by the fact that normal small contact holes print round on the wafer, no matter what shape they were on the mask. Therefore it is the area, not the shape that affects the wafer print. Contact holes have traditionally been measured in height and width. Recently, technology has been introduced to estimate corner rounding, so that a combination of height, width, and corner rounding could be used to estimate the area of the contact. Th introduction of the flux-area technique allows direct measurement of the optical area of features as small as (lambda) /4, e.g. 0.15(mu) using visible light. These measurements have been shown to be linear, repeatable, and highly correlated to what prints on the wafer. This study examines the accuracy and repeatability of optical contact hole area measurements using visible light and I-line illumination with the AVI Metrology system. A test mask is designed and printed by Photronics. It is measured with the AVI Photomask Metrology system taking images from a KLA353uv inspection system and a Zeiss AIMS tool, and a SEM. The mask is then printed at LSI Logic on a 0.25micrometers process. The contact sizes on the wafer are measured on a SEM. Results show that optical measurements from the AVI system correlate to the printed contact size as well as SEM measurements of the mask, and twice as well as conventional optical measurements. Furthermore, optical mask measurements at the mask shop and the fab correlate within 20nm despite the use of different wavelengths, and measurement with and without pellicles.
Lithographers' ability to set useful defect and contact specifications has almost disappeared as chip geometries have shrunk. As features sizes have decreased, measurement error has increased to 25% of the maximum allowable defect size. This has made defect disposition so difficult that many processes now require that all detected defects be repaired because the automatic defect sizing is almost meaningless, that is, the required guard band is nearly the size of the defect specification (Reynolds, BACUS 2000). Many mask processes have abandoned defect sizingin favor of stepper simulation, either using simulation microscope, such as AIMS, or software, such as NTI's VSS. However, AVI's optical Flux-Area measurement technique provides accuracy and repeatability that gives the simple, time tested defect specification technique new life. This study demonstrates high quality edge-, contact-, and OPC- defect disposition can be achieved using the Flux-Area technique. A test mask with a range of edge defects as well as mis-sized contacts and OPC defects was written. The mask defect sizing performed with the AVI is shown to be consistent on different chips using the same process. Thus it is shown that all the over-spec defects on the wafer were measured as over-spec on the mask. Results show that edge defect size on the wafer can be accurately predicted from the AVI defect area; that printed contact size is linearly proportional to the AVI measured area, on both square and irregular contacts; and that OPC defects (printed line-end separation errors) can be accurately predicted from AVI serif-area measurements on the mask. With the Flux-Area measurement technique as implemented on the AVI Photomask Metrology System, defects can be measured with long term repeatability and rms repeatability between machines of better than 10nm, 3% of a 0.3micrometers defect. This means that guard bands can often be reduced from 0.15micrometers to below 0.05micrometers .
Soft defects on photomasks have, historically, been difficult to measure, and predict how the measured size of a soft defect will correlate to what prints, if at all. Over the past few years KLA-Tencor STARlight surface inspection has become the inspection of choice for soft defects. Though the capture rate of this tool is exceptional, the defect sizing capability has lacked in accuracy. Customer specifications have traditionally been built around defect size and transmission. If a given defect cannot be accurately sized then it cannot be accurately dispositioned. In this study we are attempting to show a correlation between the AVI defect measurement tool sizing and what actually prints on the wafer. We will show defect sizing both from the KLA-Tencor STARlight and pattern tools, the AVI tool, AIMS and VSS printability data.
In this study, we used production reticles and a new programmed defect test mask to characterize the sensitivity and false defect performance of several algorithms (ALM100, ALM200 and ALM300). ALM300's sensitivity spec is 60 nm. The inspection results were transferred to a CD SEM for analysis. SEM measurements were taken to validate the sensitivity of the algorithm and to quantify the calibration accuracy of the review tools of the inspection system.
With increasing mask error enhancement factors (MEEF), detecting and analyzing photomask critical dimension (CD) uniformity errors is critical for understanding how photomasks can be manufactured to afford high wafer yields. Using UV pattern inspection tools, recent improvements in automated inspection algorithms can now provide CD error detection below 50 nm. This level of sensitivity is necessary in order to provide a clear picture of the reticles' contribution to the final wafer image and possibly function. However, dispositioning CD errors less than 100 nm is very challenging at defect review which has previously resulted in misclassification of true CD errors. Classifying very small errors require the high precision and resolution of a metrology tool. A process has been developed for detecting very small CD errors with a UV inspection tool and the coordinates of areas of concern transferred to a CD SEM for sizing, review and disposition. In this study, we have characterized the sensitivity and false defect performance of a new algorithm with production masks and a new programmed defect test mask. The inspection results were transferred to a CD SEM for analysis. SEM measurements were taken to validate the sensitivity of the algorithm and to quantify the calibration accuracy of the review tools of the inspection system.
As semiconductor lithography nodes become increasingly difficult to achieve with traditional optical lithography, several new technologies have emerged. SCALPEL (SCattering with Angular Limitation Electron beam Lithography) is at the forefront of the NGL technologies. SCALPEL technology uses an electron beam rather than laser light to produce images on the wafer. The SCALPEL mask is non-traditional in the sense that it is silicon-based instead of glass-based and the patterns are written on a membrane. SCALPEL provides unique challenges for the mask maker as well as the semiconductor manufacturer. In this study, we have demonstrated that the KLA-Tencor 3XX platform is capable of inspecting prototype SCALPEL reticles for pattern defects. The inspections were performed with two light wavelengths: 488 nm and 365 nm. Included are the difficulties faced and a projected roadmap for the inspection tool when SCALPEL enters at the 100 nm technology node.
Zygo Advanced Imaging Group's TINT Virtual Stepper Defect Analysis System's ability to predict CD printability of various known features on photomasks is tested. These features are analyzed with the TINT Virtual Stepper (VSS) software using known stepper parameters, and subsequently printed using a stepper with the same parameters. CD measurements, SEM imaging, and CD SEM analysis of the feature sites are compared to determine the ability of the TINT Virtual Stepper to accurately simulate feature CD printability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.