With continuing efforts to achieve higher lithographic resolution there has been on-going interest in the development of
low molecular weight resists, such as molecular glasses. Here we present the initial results of a study into the
development of a positive tone two component chemically amplified resist based on methanofullerene derivatives (MF)
with acid labile groups (tert-butyl acetate (tBAC); tert-butoxycarbonyl (tBOC)). Mono, di, tris and hexa adducts of MFtBAC
together with mono and di adducts of MF-tBOC have been evaluated with several photoacid generators.
Sensitivities as high as 11 μC/cm2 have been achieved in some cases and sub-100 nm features have been patterned.
As lithographic resolution has increased to meet the demand for smaller devices it has been necessary to use extremely
thin photoresist films to mitigate aspect ratio related resist feature collapse during development. Even with high etchdurability
photoresists, usable photoresist thickness limits etch depth, and it is becoming increasingly difficult to transfer
the pattern directly from the photoresist to the substrate. As feature sizes have diminished the use of multilayer etch
stacks has been increasingly investigated to further increase aspect ratio. Typically, a thick layer of amorphous carbon is
deposited by chemical vapor deposition, and then coated with thin silicon and resist layers. To improve manufacturability
it would be beneficial to use spin-on-carbon in the bottom layer. Here we introduce a fullerene based spin-on carbon with
high etch-durability. Sub 50 nm features with aspect ratios in excess of 15:1 have been produced in silicon using ICP
etching.
As resist films become thinner, so as to reduce problems of aspect ratio related pattern collapse at high-resolution, it is
becoming increasingly difficult to transfer patterns with useful aspect ratio by directly etching the resist. It has become
common to use the photoresist to pattern an intermediate hardmask, which then protects the silicon substrate during
etching, allowing useful aspect ratios but adding process complexity. We have previously described a fullerene based
electron beam lithography resist capable of 20 nm halfpitch and 12 nm sparse features, at a sensitivity of less than 10
μC/cm2 at 20 keV. The fullerene resist has high etch durability - comparable to that of commercial novolac resists - and
has previously demonstrated an etch selectivity of 3:1 to silicon using electron cyclotron resonance microwave plasma
etching with SF6. Here a study of the capabilities of this resist when using Inductively Coupled Plasma etching is
presented. Line-space patterns with half-pitches in the range 25 nm to 100 nm, together with sparse features (~20 nm
linewidth on a 200 nm pitch) were produced in ~30 nm thick resist films using electron beam lithography, and
transferred to silicon using an inductively coupled plasma etcher. Several combinations of SF6, CF4, CHF3 and C4F8process gases were explored. Etch selectivity and anisotropy were studied as a range of etching parameters, such as ICP
and RF power, gas flow rate, pressure and temperature were varied. Etch selectivities in excess of 9:1 were
demonstrated. Techniques for minimizing aspect ratio dependent etching effects in dense features, including the use of
ashing or high etching pressures were also examined.
Molecular resists are of increasing interest as a route to improving the resolution - line width roughness - sensitivity
trade-off of resists for next generation lithography. We have previously presented a three component fullerene derivative
based negative tone chemically amplified resist capable of ~12 nm sparse feature size, ~20 nm half pitch, sub 5 nm line
width roughness, sub 10 μC/cm2 sensitivity, and high etch durability with electron beam lithography. In order to further
improve the performance of the resist we have studied the use of acid quenchers on the performance of the resist. We
evaluated six quenching additives including five base additives and a triphenylsulfonium photoacid generator that also
shows a quenching effect. The sensitivity and resolution of the resist were evaluated as the proportion of the quencher
was varied with respect to the other resist components. Certain quenchers were seen to strongly suppress the sensitivity
of the resist, even at low concentrations, whilst an amide base actually enhanced the sensitivity at the cost of resolution.
Improvements over the previous best feature width and half pitch were not achieved, but it was possible to reduce the effects of beam defocusing on sidewall angle indicating an improvement in contrast for certain additives.
Recently there has been significant interest in the field of molecular resists as a route to shrinking the trade-off between
resolution, line width roughness and sensitivity for next generation lithography. We have previously presented initial
results of a three component fullerene derivative based negative tone chemically amplified electron beam resist with
sparse feature resolution of ~12 nm, half pitch resolution of ~20 nm, sub 5 nm line width roughness, sub 10 μC/cm2
sensitivity, and high etch durability. Here we present a further study of the properties of this molecular resist. The
material shows extremely wide process latitude. In particular it has been shown that the resolution and sensitivity of the
resist are not strongly affected by the post exposure bake conditions. Indeed a post exposure bake was not required at all
in order to observe high resolution high sensitivity behavior from the material implying that this is a low activation
energy resist. Such low Ea resists were original developed to address problems with airborne contaminants (T-topping)
but can suffer from problems with extended post exposure delay (acid diffusion) and with sensitivity to humidity.
However, the fullerene based resist was extremely stable under ambient conditions and for post exposure delays of 24
hours, regardless of whether a post exposure bake was applied, showing that the post exposure bake step can be
eliminated for this resist, and furthermore that the chemical amplification reaction in the material is in some way self
limiting.
KEYWORDS: Etching, Fullerenes, Line width roughness, Electron beam lithography, Lithography, Photoresist processing, Scanning electron microscopy, Polymers, Electron beams, Carbon
The minimum lithographic feature size for microelectronic fabrication continues to shrink, and resist properties are beginning to dominate the achievable resolution. There is a strong need for a high resolution, high sensitivity resist for future nodes that is not met by conventional polymeric resists at this time. Molecular resists, such as fullerene derivatives have the potential for lower LWR and improved resolution, but have typically been insufficiently sensitive to irradiation. Here we present a fullerene based three component chemically amplified resist system with high resolution and sensitivity, and wide process latitude. The sensitivity of this resist is between 5 and 10 μC/cm2 at 20 keV for various combinations of post application bake and post exposure bake conditions. Using 30 keV electron beam exposure, sparse patterns with 12 nm resolution were demonstrated, at a line dose of 300 pC/cm, whilst dense patterns with half-pitch 20 nm were achieved at 200 pC/cm. The LWR for the densely patterned resist (measured at 20 nm half pitch) is ~ 4.4 nm, whilst for the 12 nm sparse feature the measured LWR is ~ 2.5 nm. The etch durability is comparable with commercial novolac resists
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.