KEYWORDS: Analog to digital converters, Deep learning, Machine learning, Education and training, Image classification, Evolutionary algorithms, Performance modeling, Matrices, Library classification systems, Image processing
In traditional semiconductor packaging, manual defect review after automated optical inspection (AOI) is an arduous task for operators and engineers, involving review of both good and bad die. It is hard to avoid human errors when reviewing millions of defect images every day, and as a result, underkill or overkill of die can occur. Automatic defect classification (ADC) can reduce the number of defect images that need to be reviewed by operators. The ADC process can also be integrated with AOI engines to reduce nuisance defect images to reduce AOI image capturing time. This paper will focus on how to utilize Onto Innovation’s TrueADC software product to build ADC classifiers using a multi-engine (ME) solution. The software supports CNN, DNN and KNN algorithms. The use of CNN and DNN are currently mainstream in the development of deep learning (DL) for ADC classification in the semiconductor industry. We will address how to improve classification by using multiple models in the classification process with unique algorithms. As a result, the user can achieve industry requirements with very demanding specifications, like high accuracy, high purity, and high classification rate with very low escape rates.
A unique challenge has emerged in the Channel Hole process module of advanced 3D NAND manufacturing: control of the lateral silicon nitride recess post Channel Hole etch. A novel mid-infrared critical dimension (IRCD) metrology has been developed on a platform suitable for fab production. Compared traditional optical critical dimension (OCD) technology based on ultraviolet, visible, and near-IR light, the IRCD system exploits unique optical properties of common semiconductor fab materials in the mid-IR to enable accurate measurements of high-aspect-ratio (HAR) etches with high Z dimensional fidelity. Utilizing the mid-IR wavelength range, a robust and unique measurement methodology is demonstrated to measure the lateral silicon nitride recess that occurs post channel hole etch due to etch bias between silicon dioxide and silicon nitride. IRCD metrology is proven to have higher unique sensitivity for lateral silicon nitride recess than other inline non-destructive metrology techniques.
A novel mid-infrared critical dimension (IRCD) metrology has been developed on a platform suitable for fab production. Compared to traditional optical critical dimension (OCD) technology based on ultraviolet, visible, and near-IR light, the IRCD system exploits unique optical properties of common semiconductor fab materials in the mid-infrared to enable accurate measurements of high-aspect-ratio etched features. In this paper, we will show two examples of critical dry etch steps in 3D NAND channel formation module of an advanced node that require nondestructive process control: (1) channel hole active area etch and (2) amorphous carbon hardmask etch. In the first example, we take advantage of the absorption bands of silicon dioxide and silicon nitride to get accurate CD measurements at different depths, resulting in high-fidelity z-profile metrology of the channel – key to guiding process development and accelerated learning for 3D NAND device manufacturing. In the second example, the most common amorphous carbon hardmask materials for advanced 3D NAND nodes are opaque in the traditional OCD wavelength range; however, in the mid-infrared, there is light penetration and hence spectral sensitivity to dimensional parameters including sub-surface features. We show successful detection of intentional process skews and as well accurate bottom CD measurements of the hardmask.
Scatterometry performance enhancement is demonstrated through a holistic approach by utilizing comprehensive information from various sources, including data from different process steps, different toolsets, multiple structures, and multiple optical channels using samples from magnetic hard disk drive manufacturing. Parameter and spectrum feed-forward are performed across multiple targets at the photo step and the photo results are fed forward to the post-reactive ion etch (RIE) step. For an isolated structure with critical dimensions (CD) much smaller than the incident light wavelengths, feed-forward methods improve CD correlation with a general improvement of 20 to 60% in precision and fleet measurement precision (FMP). A second technique examined is hybrid metrology, where inputs from source tools, such as CD-SEM and CD-AFM, are used to determine critical parameters. Hybridization of line edge roughness results in CD and sidewall angle (SWA) FMP improvement of ∼60%. We also demonstrate improved CD accuracy using azimuthal scatterometry at 0, 45, and 90 deg azimuth angles measuring resist lines with CD larger than the incident light wavelengths. FMP reductions of ∼60 and 30% are obtained for CD and SWA. SWA hybridization after RIE results in CD and SWA FMP improvements by >50 and 30%, respectively.
Optical critical dimension (OCD) metrology using scatterometry has been widely adopted for fast and non-destructive in-line process control and yield improvement. Recently there has been increased interest in metrology performance enhancement through a holistic approach. We investigate the benefits of feed-forward of metrology information from prior process steps using samples from magnetic hard disk drive manufacturing. The scatterometry targets are composed of rather isolated gratings that are designed to have better correlation with device features. Two gratings, one with pitch ≈ 10CD, and the other with pitch ≈ 15CD, are measured at post develop and post reactive ion etch (RIE) steps. Two methods: parameter feed-forward (PFF) and spectrum feedforward (SFF) are studied in which the measurement results or spectrum collected on the blanket target at photo step are fed forward to the measurements on the grating structures at post develop or post RIE step. Compared with standard measurement without FF, for the more isolated grating at photo step, both PFF and SFF improve CD correlation from R2=0.96 to R2=0.975 using CD-SEM results measured on device as the reference. Dynamic precision and fleet measurement precision are improved by 20-60%. For post RIE step, PFF and SFF significantly improve CD correlation from R2=0.95, slope=1.09 to R2=0.975, slope=1.03 for the denser grating, and from R2=0.90, slope=0.79 to R2=0.96, slope=0.96 for the more isolated grating. Dynamic precision is generally improved by 20-40%. It is observed that both PFF and SFF are equally efficient in reducing parameter correlation for the application studied here.
Reducing parameter correlations to enhance scatterometry measurement accuracy, precision and tool matching is a crucial component of every modeling effort. Parameter sensitivity can largely depend on the orientation of the plane of incidence relative to the grating orientation. Conventional scatterometry is done with the plane if incidence normal to the grating orientation, whereas azimuthal scatterometry allows measurements at an arbitrary angle or set of angles. A second technique examined in this paper is hybrid metrology where inputs from source tools such as CD-SEM and CD-AFM are used to determine values of critical parameters. The first examples shows LER sensitivity gains by measuring narrow resist lines in an orientation parallel with the long axis of the grating. Hybridization of LER results in a CD and SWA FMP improvement of about 60%. We also showcase the benefits of azimuthal scatterometry measuring resist lines with CD larger than the wavelengths of the incident light. A CD and SWA FMP reduction of about 60% and 30% is obtained using azimuthal scatterometry at 0, 45 and 90 degrees azimuth angles. Hybridization of the ARC SWA after RIE results in CD and resist SWA FMP improvements by over 60% and 30%, respectively.
CD and shape control of extreme ultraviolet lithography (EUVL) structures is critical to ensure patterning performance at the 10 nm technology node and beyond. The optimum focus/dose control by EUV scanner is critical for CD uniformity, and the scanner depends on reliable and rapid metrology feedback to maintain control. The latest advances in scatterometry such as ellipsometry (SE), reflectometry (NISR), and Mueller matrix (MM) offers complete pattern profile, critical dimensions (CD), side-wall angles, and dimensional characterization. In this study, we will present the evaluation results of CD uniformity and focus dose sensitivity of line and space EUV structures at the limit of current ASML NXE 3100 scanner printability and complex 3D EUV structures. The results will include static and dynamic precision and CD-SEM correlation data.
Resolution enhancement techniques such as double patterning (DP) processes are implemented to achieve
lower critical dimension (CD) control tolerances. However the design complications, overlay resulting
from multiple exposures, and production cost limit the DP usage. EUVL offers the most promising
patterning technology to be adopted for 14nm and beyond due to simplicity and cost advantage estimates.
However, EUVL is also prone to number of patterning challenges that are unique to EUV, such as
orientation dependent pattern placement errors resulting from mask shadowing effect, flare(leads to CD
non-uniformity) and non-flatness (leads to overlay errors). Even though the shadowing effects can be
corrected by means of OPC and mask stack design, there is a need to monitor the systemic errors due to HV
bias in order to control the lithographic process. In this paper, we will report the measurement sensitivity
of EUVL targets (CD, height and sidewall angle), systemic CD errors (H-V bias) and feedback for OPC
correction by scatterometry. We will also report the measurement precision, accuracy and matching for
EUV structures.
Spacer defined double patterning processes consists of multiple deposition, post strips and etch steps and is
inherently susceptible to the cumulative effects of defects from each process step leading to higher rate of
defect detection. CD distortions and CD non-uniformity leads to DPT overlay errors. This demands
improved critical dimension uniformity (CDU) and overlay control. Scatterometry technique enables the
characterization and control the CD uniformity and provision to monitor stepper and scanner characteristics
such as focus and dose control. While CDSEM is capable of characterizing CD and sidewall angle, is not
adequate to resolve shape variations, such as footing and top rounding and spacers with leaning angles,
during the intermediate process steps. We will characterize direct low temperature oxide deposition on
resist spacer with fewer core films and reduced number of processing and metrology control steps.
Metrology characterization of SADP and resist core transferred spacers at various process steps will be
performed by scatterometry using spectroscopic ellipsometry and reflectometry. We will present CD
distribution (CDU) and profile characterization for core formation, spacer deposition and etch by advanced
optical scatterometry and also validate against CDSEM.
Double patterning technology overlay errors result in critical dimension (CD) distortions, and CD nonuniformity leads to overlay errors, demanding increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are used to characterize the CD uniformity, focus, and dose control. We present CDU and profile characterization for spacer double patterning structures by advanced scatterometry methods. Our results include normal incidence spectroscopic reflectometry (NISR) and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer double patterning stacks. We further show the results of spacer DP structures by NISR and SE measurements. Metrology comparisons at various process steps including litho, etch, and spacer, and validation of CDU and profile, are all benchmarked against traditional critical dimension scanning electron microscope measurements.
Scatterometry has been used extensively for the characterization of critical dimensions (CDs) and detailed sidewall profiles of periodic structures in microelectronics fabrication processes. In most cases devices are designed to be symmetric, although errors could occur during the fabrication process and result in undesired asymmetry. Conventional optical scatterometry techniques have difficulties distinguishing between left and right asymmetries. We investigate the possibility of measuring grating asymmetry with Mueller matrix spectroscopic ellipsometry (MM-SE) for a patterned hard disk sample prepared by a nanoimprint technique. The relief image on the disk sometimes has an asymmetrical sidewall profile, presumably due to the uneven separation of the template from the disk. Cross section SEM reveals that asymmetrical resist lines are typically tilted toward the outer diameter direction. Simulation and experimental data show that certain Mueller matrix elements are proportional to the direction and amplitude of profile asymmetry, providing a direct indication to the sidewall tilting. The tilting parameter can be extracted using rigorous optical critical dimension (OCD) modeling or calibration methods. We demonstrate that this technique has good sensitivity for measuring and distinguishing left and right asymmetry caused by sidewall tilting, and can therefore be used for monitoring processes for which symmetric structures are desired.
DPT overlay errors result in CD distortions and CD non-uniformity leads to overlay errors demanding
increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are
used to characterize the CD uniformity, focus and dose control. We will present CD distribution (CDU) and
profile characterization for spacer double patterning structures by advanced scatterometry methods. Our
result will include NISR, and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer
double patterning stack. We will further show the results of spacer DP structures by NISR and SE
measurements. Metrology comparison at various process steps including litho, etch and spacer and
validation of CDU and profile; all benchmarked against traditional CDSEM measurements.
The extension of optical lithography to 22nm and beyond by Double Patterning Technology is often challenged by CDU
and overlay control. With reduced overlay measurement error budgets in the sub-nm range, relying on traditional Total
Measurement Uncertainty (TMU) estimates alone is no longer sufficient. In this paper we will report scatterometry
overlay measurements data from a set of twelve test wafers, using four different target designs. The TMU of these
measurements is under 0.4nm, within the process control requirements for the 22nm node. Comparing the measurement differences between DBO targets (using empirical and model based analysis) and with image-based overlay data indicates the presence of systematic and random measurement errors that exceeds the TMU estimate.
Scatterometry has been used extensively for the characterization of critical dimensions (CD) and detailed
sidewall profiles of periodic structures in microelectronics fabrication processes. So far the majority of applications are
for symmetric gratings. In most cases devices are designed to be symmetric although errors could occur during
fabrication process and result in undesired asymmetry. The problem with conventional optical scatterometry techniques
lies in the lack of capability to distinguish between left and right asymmetries. In this work we investigate the possibility
of measuring grating asymmetry using Mueller matrix spectroscopic ellipsometry (MM-SE). A patterned hard disk
prepared by nano-imprint technique is used for the study. The relief image on the disk sometimes has asymmetrical
sidewall profile, presumably due to the uneven separation of the template from the disk. The undesired tilting resist
profile causes difficulties to the downstream processes or even makes them fail. Cross-section SEM reveals that the
asymmetrical resist lines are typically tilted towards the outer diameter direction. The simulation and experimental data
show that certain Mueller matrix elements are proportional to the direction and amplitude of profile asymmetry,
providing a direct indication to the sidewall tilting. The tilting parameter can be extracted using rigorous optical critical
dimension (OCD) modeling or calibration method. We demonstrate that this technique has good sensitivity for
measuring and distinguishing left and right asymmetry caused by sidewall tilting, and can therefore be used for
monitoring processes, such as lithography and etch processing, for which symmetric structures are desired.
This article describes an Uncertainty & Sensitivity Analysis package, a mathematical tool that can be an
effective time-shortcut for optimizing OCD models. By including real system noises in the model, an accurate method
for predicting measurements uncertainties is shown. The assessment, in an early stage, of the uncertainties, sensitivities
and correlations of the parameters to be measured drives the user in the optimization of the OCD measurement strategy.
Real examples are discussed revealing common pitfalls like hidden correlations and simulation results are compared
with real measurements. Special emphasis is given to 2 different cases: 1) the optimization of the data set of multi-head
metrology tools (NI-OCD, SE-OCD), 2) the optimization of the azimuth measurement angle in SE-OCD. With the
uncertainty and sensitivity analysis result, the right data set and measurement mode (NI-OCD, SE-OCD or NI+SE OCD)
can be easily selected to achieve the best OCD model performance.
As optical lithography advances to 32 nm technology node and beyond, double patterning technology (DPT)
has emerged as an attractive solution to circumvent the fundamental optical limitations. DPT poses unique demands on
critical dimension (CD) uniformity and overlay control, making the tolerance decrease much faster than the rate at which
critical dimension shrinks. This, in turn, makes metrology even more challenging. In the past, multi-pad diffractionbased
overlay (DBO) using empirical approach has been shown to be an effective approach to measure overlay error
associated with double patterning [1]. In this method, registration errors for double patterning were extracted from
specially designed diffraction targets (three or four pads for each direction); CD variation is assumed negligible within
each group of adjacent pads and not addressed in the measurement. In another paper, encouraging results were reported
with a first attempt at simultaneously extracting overlay and CD parameters using scatterometry [2].
In this work, we apply scatterometry with a rigorous coupled wave analysis (RCWA) approach to characterize
two double-patterning processes: litho-etch-litho-etch (LELE) and litho-freeze-litho-etch (LFLE). The advantage of
performing rigorous modeling is to reduce the number of pads within each measurement target, thus reducing space
requirement and improving throughput, and simultaneously extract CD and overlay information. This method measures
overlay errors and CDs by fitting the optical signals with spectra calculated from a model of the targets. Good
correlation is obtained between the results from this method and that of several reference techniques, including empirical
multi-pad DBO, CD-SEM, and IBO. We also perform total measurement uncertainty (TMU) analysis to evaluate the
overall performance. We demonstrate that scatterometry provides a promising solution to meet the challenging overlay
metrology requirement in DPT.
KEYWORDS: Semiconducting wafers, Silicon, Critical dimension metrology, Scanning electron microscopy, Atomic force microscopy, Process control, Time metrology, Reflectivity, Metrology, Etching
Deep silicon trench technology is essential for fabricating vertical microelectronic devices, which is gaining increasing popularity due to the ever shrinking device dimensions. The control of critical dimensions (CD) and sidewall profiles of silicon trenches is critical to the device performance and production yield, posing a need for in-line metrology for process control. The widely used cross-section scanning electron microscopy (X-SEM) is not suitable for in-line monitoring because of its destructive nature and long turn-around measurement time. Alternative techniques, for example, atomic force microscopy (AFM), are constrained by the aspect ratio of the trench and limited by throughput. In this paper we report results of trench profile measurement using a non-destructive Optical Critical Dimension (OCD) technique. In this technique, trench profile parameters are measured using normal incidence spectroscopic ellipsometry. We studied various silicon trenches with depths between 1 and 2.5 um and pitches from 1 to 4 um. Spectral simulation and profile comparison between OCD and X-SEM/AFM reveal that OCD has great sensitivity to deep silicon trench profile features (CD, trench depth and side wall angle), providing a viable metrology solution for real time process control.
Shallow-Trench-Isolation (STI), as one of the primary techniques for device isolation in complementary metal-oxide semiconductor (CMOS), requires accurate and precise CD and line-shape control during wafer process. Thus, the measurement of the critical dimensions after lithography and after formation of the STI structure is extremely important for process control. Currently used SEM technologies are either destructive or incapable of identifying the profile features. The necessary averaging of CD-SEM measurements to compensate for its large error diminishes its usage in automatic process control. In this paper we use the Optical Critical Dimension (OCD) technique to study focus exposure matrix (FEM) wafers of photoresist patterns and STI structures and compare the results with CD-SEM measurements. OCD measurement is performed with normal-incidence polarized reflectometry. Rigorous Coupled-Wave Analysis (RCWA) is combined with real-time regression to provide CD and profile parameters with excellent sensitivity to sub-50 nm grating lines. Thus, non-destructive and fast real-time measurements are easily accomplished during wafer processing. Optimization of STI model parameters is discussed. The critical dimensions of 121 dies extracted by OCD exhibit excellent correlation with those obtained by CD-SEM, with R-squared as high as 0.995 on STI wafers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.