Paper
13 July 2017 eBeam initiative survey reports confidence in EUV and multi-beam technology
Author Affiliations +
Abstract
A record 73 industry luminaries representing more than 30 different companies from across the semiconductor ecosystem participated in the 2016 eBeam Initiative perceptions survey. The eBeam Initiative also completed its second annual mask makers’ survey with feedback from 10 captive and merchant photomask manufacturers. Among the results of the members’ perception survey, respondents remained optimistic in the implementation of EUV lithography for semiconductor high-volume manufacturing (HVM), with confidence in EUV increasing over other next-generation lithography (NGL) techniques compared with last year’s survey. In addition, expectations on the use of multi-beam technology for advanced photomask HVM by the end of 2018 continue to remain strong. Results from the eBeam Initiative’s second annual mask makers’ survey indicate several surprising trends on mask making related to write times, turn-around time (TAT) for mask manufacturing, resists, mask yields and other critical issues. The author will review the key findings and offer his viewpoint on their significance.
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Aki Fujimura and Jan Willis "eBeam initiative survey reports confidence in EUV and multi-beam technology", Proc. SPIE 10454, Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology, 104540A (13 July 2017); https://doi.org/10.1117/12.2279410
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Manufacturing

Semiconductors

Ecosystems

Extreme ultraviolet lithography

High volume manufacturing

Back to Top