Paper
1 October 2013 Utilization of AIMS Bossung plots to predict Qz height deviations from nominal
Author Affiliations +
Proceedings Volume 8886, 29th European Mask and Lithography Conference; 88860K (2013) https://doi.org/10.1117/12.2031618
Event: 29th European Mask and Lithography Conference, 2013, Dresden, Germany
Abstract
The ZEISS AIMS™ measurement system has been established for many years as the industry standard for qualifying the printability of mask features based on the aerial image. Typical parameters in determining the printability of a feature are the critical dimension (CD) and intensity deviations of the feature or region of interest with respect to the nominal. While this information is critical to determine if the feature will pass printability, it gives little insight into why the feature failed. For instance, determining if the failure occurs due to the quartz level deviating from that of the nominal height can be problematic. Atomic force microscopy (AFM) is commonly used to determine such physical dimensions as the quartz etch depth or height and sidewall roughness for verification purposes and to provide feedback to front end processes. In addition the AFM is a useful tool in monitoring and providing feedback to the repair engineers as the depth of the repair is one of the many critical parameters which must be controlled in order to have a robust repair process. In collaboration with Photronics nanoFab, we have previously shown the Bossung plot obtained from the AIMS™ aerial image of a feature can be used to determine if the quartz level of a repaired region is above or below the nominal value. This technique can further be used to extract the etch time associated with the nominal quartz height in order to optimize the repair process. The use of this method can be used in lieu of AFM, effectively eliminating the time and effort associated with performing additional metrology steps in a separate system. In this paper we present experimental results supporting the technique and its applicability.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Anthony Garetto, Doug Uzzel, Krister Magnusson, Jon Morgan, and Gilles Tabbone "Utilization of AIMS Bossung plots to predict Qz height deviations from nominal", Proc. SPIE 8886, 29th European Mask and Lithography Conference, 88860K (1 October 2013); https://doi.org/10.1117/12.2031618
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Quartz

Photomasks

Atomic force microscopy

Metrology

Critical dimension metrology

Inspection

Back to Top