Open Access
6 May 2020 Mask-absorber optimization: the next phase
Author Affiliations +
Abstract

We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n  <  0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.

© 2020 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2020/$28.00 © 2020 SPIE
Claire van Lare, Frank Timmermans, and Jo Finders "Mask-absorber optimization: the next phase," Journal of Micro/Nanolithography, MEMS, and MOEMS 19(2), 024401 (6 May 2020). https://doi.org/10.1117/1.JMM.19.2.024401
Received: 24 January 2020; Accepted: 20 April 2020; Published: 6 May 2020
Lens.org Logo
CITATIONS
Cited by 18 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Diffraction

Photomasks

Phase shifts

Picosecond phenomena

3D modeling

Extreme ultraviolet

Refractive index

RELATED CONTENT


Back to Top