Open Access
2 March 2021 Contribution of EUV resist counting statistics to stochastic printing failures
Author Affiliations +
Abstract

Background: Stochastic printing failures, manifested as random defects in a patterned photoresist image, result from statistical fluctuations in photon flux and resist components and are a key issue confronting extreme ultraviolet (EUV) lithography. Empirical data indicate that photoresist composition and processing influence stochastic printing failure rates.

Aim: To devise a simple and flexible model framework for assessing how changes in photoresist composition and imaging chemistry can be expected to impact the frequency of stochastic printing failures

Approach: A simple physicochemical description based solely on resist component and photon statistics is combined with combinatorial calculations of resist imaging chemistry and Monte Carlo analysis to estimate rates of random printing failures.

Results: This model yields results consistent with experimental observations. The method is applied to predict impacts of resist formulation, composition, and process changes on the rates of stochastic printing failures.

Conclusions: This approach provides rapid assessment of the relative impact of resist materials and process modifications and is useful as a tool to advance EUV photoresist design.

1.

Introduction

The potential for significant defects resulting from random behaviors during the lithographic process has come to the forefront of issues in extreme ultraviolet (EUV) lithography. As critical dimensions approach the molecular scale, the photoresist becomes a major contributor of randomness, a consequence of its discrete molecular nature. Chemically amplified (CA) photoresists (or CARs), the up-front choice for mainstream EUV lithography, are particularly prone to manifesting stochastic effects due to their intrinsic augmented response to EUV radiation and their compositions, which contain multiple molecular components present at low concentrations. The most familiar manifestation of stochastic behavior in nanoscale lithography is line-edge roughness (LER),1 the result of statistical fluctuations in photon flux, distribution of resist components, localized chemistry, dissolution effects, and other factors. Recently, another class of stochastic defects distinct from LER has been identified,2,3 termed “stochastic printing failures.” In such failures, an element of the printed pattern is missing—a contact hole, or the resist spacer between two contact holes, or equivalently in the case of line/space patterns, there is present a nanoscale bridge in the space between two resist lines or a nanoscale break in a resist line.

1.1.

Characteristics of Stochastic Printing Failures

De Bisschop and coworkers24 have described methods for the characterization of stochastic printing failures. They term the procedures for categorization and quantitation “NOK” and “pixNOK,” which apply, respectively, to patterned arrays of circular contacts and to line/space arrays. In these methods, a collection of scanning electron micrographs of a resist pattern is acquired and analyzed for the presence of two types of printing failures: missing or bridged structures in the case of arrays of contacts, or analogously, bridged or broken structures in the case of line/space arrays. For a given pattern, the printing failures found in a specified area are counted and recorded as a function of a dimension of the pattern, e.g., the mean contact diameter or the mean space width. In a typical experiment, that mean dimension is varied by adjusting the exposure dose through a fixed mask pattern, and the analysis is presented as a graph of the probability of failures (or equivalently their rate or their areal density) as a function of the mean dimension.

They found that such plots always conform to a common pattern. Figure 1 schematically shows the behavior for a line/space array. At small values of the space width, bridge failures dominate. As the space width is increased, the probability of bridge failures decreases. Further increases in space width lead to an onset of break failures, whose probability then increases with increasing space width. These plots are presented in a semi-log format as the failure rates vary over several orders of magnitude in these analyses.

Fig. 1

Observed trends for changes in stochastic printing failure rates in line/space arrays as a function of the space width. Bridge failures occur in the nominally exposed regions of the line/space pattern, whereas break failures are found in the nominally unexposed regions (for positive-tone resists). Failures arise from deviation of the film solubility from that intended.

JM3_20_1_014603_f001.png

A meaningful quantitation of failures with low probability requires detection of a statistically significant number of these. Since they occur only rarely, this means sampling of an especially large total area to acquire sufficient samples. In practice, then, the detection limit is set by the metrology tool throughput and time available for analysis.

Engineering studies have identified aspects of materials and process that can influence the frequency of stochastic printing failures.25 These include such factors as resist photospeed, resist composition, and exposure conditions. Though there is a general understanding that photon and materials statistics play important roles here, in large part the relationships between these and stochastic printing failures have been treated in a somewhat descriptive fashion, a consequence of the proprietary nature of the resist compositions and the lack of a general framework for analysis.

In this paper, we describe a simple numeric model and protocol to understand and interpret the impact of such factors on stochastic printing failures. The key to this model is the recognition that the stochastic printing failures, whether a bridge or a break feature, are the result of deviation of the solubility of the resist film at the site of failure from its intended behavior. For example, in the case of a bridge failure, the area is insoluble in aqueous alkaline developer when it should be soluble, and vice versa for a break failure (Fig. 1).

1.2.

Review of Relevant Chemistry

Figure 2 shows a schematic of the imaging chemistry of a generic CA EUV photoresist. There are two distinct stages of the imaging chemistry. In an initial radiochemical process, absorption of an EUV photon produces first a primary electron, then a cascade of secondary electrons that can interact with the photo-acid generator (PAG) component of the resist to form a quantity of a Brönsted acid. This is followed by acid-catalyzed deprotection of acid-labile polymer groups during a separate post-exposure baking (PEB) step, leading to solubilization of the polymer film in the exposed acidified regions. All EUV CA resists contain a quantity of a basic quencher, which acts to control the extent of acid catalysis and in practice provides a means to improve the resist’s spatial resolution at the expense of radiation sensitivity.

Fig. 2

Schematic illustrating, in general form, the radiation chemistry that takes place during EUV exposure of a CA photoresist, and the subsequent chemical reactions that lead to solubilization of the photoresist polymer.

JM3_20_1_014603_f002.png

The schematic of Fig. 2 is annotated to indicate the manifolds of soluble versus insoluble polymer forms. Overall, the chemistry of the PEB step is kinetically controlled and the extent to which deprotection (solubilization) occurs depends on the relative rates of all steps in the scheme, and therefore, from their kinetic rate laws, on the concentrations of the various species.

This leads to the recognition that printing failures are at root a consequence of this chemistry, and localized differences in concentrations of the active species. A condition where the concentration of acid is high, and/or quencher is low, will favor the formation of deprotected, soluble polymer. Conversely, when the concentration of acid is low and/or quencher is high, deprotection will be slowed and the protected insoluble form will predominate.

1.3.

Counting Statistics

How would such differences in concentration come about? The conditions of nanoscale patterning with EUV light require a consideration of counting statistics, a consequence of the relatively small numbers of entities involved in the formation of a printed feature.

First consider a single contact hole feature 60 nm in diameter, formed using 193-nm deep-ultraviolet (DUV) lithography. Figure 3 shows the mean counts of absorbed photons, PAG, and quencher found in the volume of the feature during imaging (the values in the table are calculated for a typical case where the photoresist contains 10 wt% PAG, 0.3 mol quencher/mol PAG, and is exposed to a DUV dose of 30  mJ/cm2; absorption coefficients assumed for this estimate are α=1.5  μm1 at 193 nm, and α=5.0  μm1 at EUV). If this same feature is scaled to 22-nm diameter, at an identical EUV imaging exposure dose of 30  mJ/cm2, the counts decrease sharply.

Fig. 3

Impact of scaling on photon and molecule counts in a CA photoresist pattern.

JM3_20_1_014603_f003.png

This is of consequence because, in a population of contacts like those found on an electronic device, the exact counts will vary from one feature to the next. The exact count is believed to follow the binomial probability distribution, i.e., the number of successes in a sequence of n independent trials, each with the same probability p of success. In this case, the mean count is equal to np and the standard deviation of the count is equal to np(1p). When p is small, the standard deviation is approximately equal to the square root of the mean. For conditions of interest here, we estimate p (the probability that a specific site in the resist film is occupied by one of the minor components rather than the polymer matrix) to be typically 0.02. The binomial distribution can be approximated by the Gaussian or Poisson distributions, where in the latter the standard deviation is precisely equal to the square root of the mean. As the mean value decreases, the relative standard deviation increases. Figure 3 shows the sharp increases in relative standard deviations that accompany the scaling. It is worth noting the large difference in absorbed photon counts between DUV and EUV cases. In large part this is due to the high energy of EUV photons—far fewer are required to achieve the targeted dose.

Bristol and Krysak6 have evaluated the issues associated with counting statistics and EUV lithography in some detail. They observe that, with integrated circuits of leading edge design containing populations of up to one trillion features, we can expect to find multiple occurrences where the photon, PAG, or quencher count in a feature deviates from its median value by seven standard deviations (7σ). Figure 3 includes the relative changes in counts at a deviation of 7σ. In the Gaussian distribution, the probability of values located seven standard deviations from the mean is about 1012.

The distribution of quencher counts in a population of printed features is readily calculated directly from the nominal molar concentration of quencher and the volume of the feature of interest. The distribution of photo-acid is more complex since it is not added directly to the resist but is formed in-situ, the result of the radiation chemistry shown in Fig. 2. Mack et al.8 have described a semi-empirical formulation of the familiar Dill C expression7 for the formation of photo-acid under EUV exposure:

Eq. (1)

H+=PAG0(1eC·Dose),
where

Eq. (2)

C4.75  nm(1IP110  eV)αϕeϕPAGσePAG(λhc),
and H+ is the mean count of photo-acid, PAG0 is the mean count of PAG, Dose is the incident dose of EUV light, IP is the ionization potential of the absorber, α is the absorption coefficient of the resist film at the EUV exposure wavelength λ, ϕe is the electron generation efficiency, ϕPAG is the PAG quantum efficiency (or quantum yield QY), and σePAG is the PAG-electron combination cross-section.

In their treatment, the authors derive a formula for the relative standard deviation in the photo-acid distribution that includes contributions from both the distributions of PAG (first term) and absorbed photons (second term):

Eq. (3)

σH+=(1H++1.07[(1H+)ln(1H+)H+]2×1ϕeincid.photons(1eα·thickness))1/2,
where incid.photons is the mean count of incident photons and thickness is the initial resist film thickness. Equations (1)–(3) provide a convenient means to characterize the photo-acid population distribution based on materials characteristics (e.g., PAG concentration and absorption properties), and process parameters (dose and film thickness).

2.

Estimation of Stochastic Printing Failures

We focus here on an estimation of printing failures in line/space arrays, but our general approach is adaptable to other pattern types. The goal is to make a numeric estimate of the probability of stochastic printing failure for a given resist material formulation and set of process conditions. A direct approach to achieving this would be to perform repeated simulations of the lithographic patterning process using a distribution of initial conditions that reflects the expected statistical ranges of materials and process conditions and searching that series of simulations for failures.

Figure 4 shows a schematic representation of a section of a 36-nm pitch line/space array exposed in an EUV CA photoresist of a representative formulation (5 wt% PAG, 0.4 mol quencher/mol PAG, EUV dose of 20  mJ/cm2). The random distribution of quencher molecules is represented by a set of small blue spheres, and the photo-acid formed upon EUV exposure with the line/space pattern is represented as larger red spheres. Both the nominal exposed and unexposed regions contain some number of photo-acid molecules, in a ratio dependent on the contrast of the aerial image.

Fig. 4

A depiction of the distribution of quencher and photo-acid molecules in a segment of an exposed 36-nm pitch line/space array. The film thickness is 15 nm.

JM3_20_1_014603_f004.png

Several internal length scales are at play in EUV imaging of CA resist, including acid and quencher diffusion distances, the average polymer size and distribution of sizes, and the electron mean free path. De Bisschop and Hendrickx4 found that, for patterns as in Fig. 4, bridge failures have a size of 15  nm. In lieu of detailed theoretical molecular-scale analysis linking the internal length scales to the observed failure dimension,9 as a simplification we adopt the 15-nm experimental value as the characteristic length scale for stochastic failures at 36-nm pitch and focus on the properties of individual volume elements (voxels) whose x, y, and z dimensions are of this characteristic length. This implicitly assumes the physicochemical effects that in aggregate produce a failure site are isotropic. We examine only voxels positioned at either the maxima or the minima of the aerial image. These represent, respectively, the sites of potential bridge failures and the sites of potential break failures. Rather than performing extensive lithography simulations of the entire pattern, at best a tedious and time-consuming exercise and at worst an impractical task, we calculate the chemical state of these individual voxels at the end of the lithographic process, determine whether the voxel is in a soluble or insoluble state, and use that information to diagnose an individual failure. In this way, we can estimate stochastic failures in the parts-per-million to parts-per-trillion level in a computationally efficient manner.

Our protocol for estimating stochastic failure rates mimics the procedure outlined above for constructing an NOK-style graph. We:

  • 1. specify an aerial image and a photoresist formulation;

  • 2. calculate, using a kinetics simulation of the PEB process, the mean space width as a function of dose for the case where photon counts and resist component counts are at their mean values;

  • 3. and for each of a series of exposures of increasing dose, we

    • a. perform a combinatorial kinetics simulation to establish the chemical composition and therefore the solubility of “exposed” and “unexposed” voxels as a function of the photo-acid and quencher counts at the corresponding high and low dose for each individual space width;

    • b. carry out a Monte Carlo analysis of the combinatorial results to count insoluble/soluble voxels; and

    • c. tabulate failure rates based on voxel solubility as a function of the mean space width.

Details of individual steps of this protocol follow.

2.1.

Aerial Image and Photoresist Formulation

As standard baseline conditions, an aerial image representing one period of a 36-nm pitch line/space array is used, calculated for dipole 90 conditions and a tool numerical aperture (NA) of 0.33. The image contrast, calculated as (ImaxImin)/(Imax+Imin), is 77%. This is intended to be representative of the aerial image produced by an ASML NXE 3300 EUV scanner. In this study, we have applied a range of different illumination conditions that will be detailed in later sections of this paper. Table 1 lists the specifics of these different conditions and will be referenced throughout that later discussion. We will refer to the baseline illumination conditions by the shorthand designator STD.

Table 1

Illumination conditions examined in this study.

NamePurposeaPattern pitch (nm)Image contrast (%)DUV flood exposure (mJ/cm2 at 365 nm)
STDbaseline3677
LOW-AICImage contrast3663
HIGH-NAscaling2282
POST-DUVPSCAR process3677500

aPSCAR = Photo-sensitized chemically amplified resist.

The baseline photoresist is a generic CA resist, containing 5 wt% PAG and a molar ratio of Quencher/PAG of 0.4. Using triphenylsulfonium perfluorobutanesulfonate as the PAG, concentrations in the solid solution are 0.116  mole/liter PAG and 0.047  mol/l quencher. A wide variety of formulations have been studied, and Table 2 itemizes these variations for reference. The baseline resist formulation is labeled CAR-1.

Table 2

Resist formulations examined in this study.

DesignationPurposeaPAG (mol/l)Quencher typeaQuencher loading (mol/mol PAG)AA loading (mol/mol PAG)PP loading (mole/mol PAG)φPAGIP (eV)Dose to size (mJ/cm2)
CAR-1Baseline0.116Standard0.40.51015.8
CAR-2Test photon count0.116Standard0.40.11079.9
CAR-3Test photon count0.116Standard0.40.56032.0
CAR-4High PAG loading0.347Standard0.40.51010.5
CAR-5Low PAG loading0.070Standard0.40.51022.6
CAR-6High quencher ratio0.116Standard0.60.51022.0
CAR-7Low quencher ratio0.116Standard0.20.51010.6
CAR-8Low PDQ ratio0.116PDQ0.20.5109.2
CAR-9Baseline PDQ ratio0.116PDQ0.40.51012.6
CAR-10High PDQ ratio0.116PDQ0.60.51015.2
AACAR-1AA0.116Standard0.41.00.5108.9
AACAR-2AA + PDQ0.116PDQ0.41.00.5107.2
AACAR-3AA + high load PDQ0.116PDQ1.21.00.51015.8
PSCAR-1PSCAR0.116PDQ0.40.30.5105.8
PSCAR-2Test photon count0.116PDQ0.40.30.1751016.0
PSCAR-3PSCAR + high load PDQ0.116PDQ1.20.30.51011.6

aPDQ = photodecomposable quencher; AA = acid amplifier; PSCAR = photo-sensitized chemically amplified resist; PP = photosensitizer precursor.

2.2.

Mean Space Width as a Function of Dose

The purpose of calculating the mean space width from patterning is to place failure rates estimated by the model on a scale that can be compared directly to literature results. For a given resist formulation and aerial image, space widths are calculated by performing a series of reaction-diffusion calculations as a function of exposure dose using the stochastic chemical kinetics simulator program Kinetiscope,10 configured as in our past work.1113 This implements a physically based, experimentally validated three-dimensional PEB model whose rate parameters are based on experimental measurements of the deprotection kinetics of tert-butoxycarbonylstyrene (TBOC) resist formulations. TBOC as a DUV resist requires very low exposure doses14 so this core PEB model represents an EUV resist with high radiation sensitivity. The quencher kinetic model incorporated in these simulations is based on similar measurements of quencher kinetics.15 Photo-acid concentrations are calculated using Eq. (1), using the nominal values for its parameters as listed in Ref. 8. A 60 s PEB at 100°C is assumed. Figure 5(a) shows schematically the overall procedure. The accuracy of this model was confirmed by comparing predicted film thickness loss for open-frame exposures (proportional to extent of deprotection) with measured values over a wide range of PAG and quencher concentrations. The dissolution model assumes a simple threshold value (in this case, 80% removal of the polymer protecting group)13 to translate extent of deprotection into solubility in aqueous base developer.

Fig. 5

Calculation methodology. (a) Calculation of space width versus dose. Reaction-diffusion calculations of the PEB process are carried out for a series of exposures of the line/space array pattern; this is conveniently configured in the simulator as a composite aerial image increasing stepwise in dose; (b) combinatorial calculation of the effect of photo-acid and quencher counts on extent of deprotection. The mean concentration of photo-acid and quencher at the maxima and minima at different points of the gradient aerial image are used to populate the combinatorial arrays. The extent of deprotection during PEB is then calculated for photo-acid and quencher counts ±seven standard deviations around the mean values. The tables on the right list the calculated molar amounts of deprotected polymer as a function of location (column, row, and layer) in the voxel array.

JM3_20_1_014603_f005.png

It is important to note that the chemical model we employ is based solely on the measured deprotection rates of TBOC homopolymer formulations and is not fit to any lithographic data. Our approach is not meant to duplicate all experimental patterning data for a specific resist but rather to capture the trends seen in Fig. 1 with a simple model that can be used with a variety of different resist chemistries.

2.3.

Combinatorial Kinetics Simulation

We endeavor here to efficiently assess the outcomes of processing of resist compositions that vary widely in component concentration and to repeat the process using different film components and lithographic conditions. The methods of combinatorial chemistry, widely applied in the biosciences and chemical materials research,16,17 can be adapted to this task. We specify combinatorial libraries of resist compositions whose component concentrations span the ±7σ ranges of interest. Kinetics simulations are then used to predict the extent of polymer deprotection for each member of the library under specified process conditions. In the nomenclature of the field,18 we implement a parallel synthesis approach to construct a virtual library where each member comprises a discrete formulation of diversity reagents in a physically separate compartment.

The Kinetiscope stochastic kinetics simulator program can be configured to calculate, in a single step, libraries with 1 to 3 independently varying components. The output of this calculation constitutes a continuous transfer function that relates initial concentrations of the varying components to the final polymer composition (hence film solubility) at the end of the lithographic process. In practice, we implement this transfer function as a look-up table, returning a value for the extent of deprotection as a function of component counts. If the returned value for deprotection exceeds the solubility threshold (80% deprotected in this case) then the voxel is considered soluble, otherwise it is insoluble.

We construct a look-up table for each exposed and unexposed voxel, and at each increment of the mean dose (corresponding to an incremental change in printed space width). A typical library with two independent components contains 400 members with distinct resist compositions; a library with three independent components contains 8000 members.

We will use the case of two varying components (photo-acid and quencher molecules), to illustrate the process, but the approach directly extends to three (or more) components. The overall procedure is shown schematically in Fig. 5(b), where the system whose PEB kinetics are simulated is configured as a two-dimensional array of individual, isolated voxels. Along one axis of the voxel array, the initial photo-acid count is varied, whereas on the other axis, the quencher count is varied. For a given resist formulation and exposure condition, the mean initial counts of photo-acid are calculated from the formulated PAG concentration and the exposure dose using Eq. (1), and its σ from Eq. (3); mean initial quencher counts and its σ are calculated directly from the formulated quencher concentration. In the kinetic simulation, the two axes are centered on these mean values and the ranges varied by ±7σ. Each voxel is then initialized with a specific photo-acid and quencher count depending on its position in the two-dimensional array, and the PEB simulation is run using the same reaction conditions specified in Sec. 2.2. The final result is a two-dimensional map of deprotection as a function of photo-acid and quencher count.

2.4.

Monte Carlo Analysis

Monte Carlo simulations are robust and straightforward ways to simulate rare events and estimate probabilities.19 We apply the method here to estimate stochastic printing failure rates for a given photoresist formulation and exposure condition. This can be done by drawing random values for photo-acid and quencher counts from their Gaussian distributions under the chosen conditions, and then calling the transfer function just described to decide whether a voxel with those counts will be soluble or insoluble. Bilinear interpolation is used to derive polymer composition/solubility for counts intermediate to those directly calculated. For a bridge failure, an insoluble condition counts as a failure; for a break failure, a soluble condition counts as a failure. By repetitive random draws from the photo-acid and quencher distributions, a failure rate (the number of failures divided by the total number of samples) can be established for the conditions under study.

In direct application of the Monte Carlo method as just described, the approximation error of the estimated failure rate is inversely proportional to the square root of the number of trials, and therefore to the square root of the calculation running time. Most of the sampling occurs at the more probable values of photo-acid, and quencher count distributions that do not produce a failure condition, so the count of failure events, and hence the precision of the estimated failure probability, increases slowly. The efficiency of Monte Carlo simulations can be dramatically improved by applying the technique of importance sampling,19 where the sampling distribution is reconfigured to sample rare events more frequently. As utilized in our analysis, photo-acid and quencher counts are sampled uniformly over the ranges of possible values and a weighting factor is applied to account for the difference between the probabilities of uniform and Gaussian distributions. Unless otherwise stated, the importance sampling method is employed for all results described here.

3.

Model Results

3.1.

Transfer Functions

Figure 6 shows examples of transfer functions calculated for the baseline resist CAR-1 and standard conditions STD, at an EUV exposure dose of 20  mJ/cm2. Figure 6(a) shows the result for an exposed voxel, where an insoluble state will constitute a failure. The graph is colored to indicate in red the range of photo-acid and quencher counts where failures occur, i.e., where the extent of deprotection falls below our 80% solubility threshold. The center of the plotted surface, where photo-acid and quencher are at their mean values, is located well within the non-failure zone. It is worth noting that the red region of failure corresponds to cases where the photo-acid count is low and/or quencher is high relative to the mean values, conditions where, as we noted earlier, deprotection will be suppressed.

Fig. 6

Combinatorial calculation of the extent of polymer deprotection as a function of photo-acid and quencher count. (a) The result for an exposed voxel, where an insoluble state will constitute a failure. (b) Complementary results for an unexposed voxel, where a soluble state constitutes a failure.

JM3_20_1_014603_f006.png

Figure 6(b) shows complementary results for an unexposed voxel. Here, a soluble state will constitute a printing failure, and again red indicates the area of failures. As before, the mean values of photo-acid and quencher are well within the non-failure zone. The red region of failure is in this case found where the quencher count is low and the photo-acid count is high compared to the mean values, conditions that lead to an increase in extent of deprotection.

3.2.

Monte Carlo Analysis

An illustration of a Monte Carlo analysis is shown in Fig. 7. This is for the case of the exposed voxel of Fig. 6(a) and estimates the rate of bridge failures. The transfer function is displayed here as a heat map, using the same color-coding where red represents the zone of failures. The dots of various colors overlaid on the heat map indicate locations of photo-acid/quencher count combinations that were sampled in different rounds, each round with an increasing number of trials. As the number of trials increases there is a greater likelihood that some of sampled points will fall in the failure zone. With ten million trials, sufficient points have fallen in the red zone that a failure rate can be estimated. For these particular conditions, a failure rate of 1.5×105 is obtained.

Fig. 7

Monte Carlo analysis of stochastic failures for the exposed voxel case of Fig. 6(a).

JM3_20_1_014603_f007.png

Strictly for purpose of demonstration, in this example, we employed the direct application of the Monte Carlo method. Equivalent failure probabilities are estimated with much improved computational efficiency using importance sampling.

3.3.

Failure Rates versus Space Width

The construction of the transfer functions and Monte Carlo analyses are both quick calculations and are readily repeated for the different exposure doses required to print a range of space widths. Figure 8 plots failure rates calculated for such a series. This is for our standard exposure conditions STD (a 36-nm pitch line/space array) using the baseline resist formulation. Estimated rates for both bridge and break failures are shown. Failure rates for both vary in highly nonlinear fashion with the space width, each ranging over ten orders of magnitude but with their trends in opposite direction.

Fig. 8

Calculated failure rates for a 36-nm pitch line/space array using our baseline conditions. The circled point represents the failure rate estimated in Fig. 7.

JM3_20_1_014603_f008.png

Figure 9 compares a subset of the data of Fig. 8 with experimental results20 for conditions comparable to our baseline case. As expected, the calculation employing our unmodified model TBOC polymer does not match the CD of the test resist, but does replicate the overall trends and failure rate values of the published engineering data. We take this as an indication that this simple description, based solely on how photon, PAG, and quencher counting statistics impact deprotection kinetics and resist film solubility, captures the primary factors that govern printing failures.

Fig. 9

Comparison of calculated failure rates with experiment. (a) Calculated failure rates for a 36-nm pitch line/space array using our baseline conditions; (b) experimental measurement of failure rates for a 36-nm pitch line/space array (data replotted from Ref. 20).

JM3_20_1_014603_f009.png

4.

Influence of Process on Failure Rates

This model uses as inputs a small set of numeric parameters that are directly related to familiar process and materials characteristics. This allows us to probe how such characteristics influence failure rates. We first examine the impact of process characteristics with several examples.

4.1.

Aerial Image Contrast

Aerial image contrast (AIC) has long been considered a factor in resist stochastic behavior, a prime example being the now well-established relationship between AIC and LER.21 It has been demonstrated experimentally that a lower image quality (using normalized image log slope as a metric) leads to an increase in stochastic printing failure rates.22 In our model, a change in AIC alters the photo-acid counts in both exposed and unexposed voxels and in consequence influences the failure rates of both. Figure 10 compares failure rates when a 36-nm pitch line/space array is printed using baseline resist formulation CAR-1 with an aerial image of lower contrast (63% AIC, illumination condition LOW-AIC) and with our STD baseline illumination condition (77% AIC). The seemingly minor change in AIC produces a marked increase in failure rates, with a predicted increase of up to three orders of magnitude. AIC is affected by the choice of illumination mode, and by flare in the optical system, so-called out-of-band (stray UV) radiation and other causes. The optimization of these already receives intense attention, and this result reaffirms the value of such efforts.

Fig. 10

Impact of aerial image contrast on stochastic failure rates as estimated by the model. The higher AIC results in many orders of magnitude reduction in failure rate.

JM3_20_1_014603_f010.png

4.2.

Increased Photon Count

Current efforts to increase EUV source brightness are based on the expectation that this enables larger exposure doses, increased throughput, and reduced undesirable resist stochastic effects such as LER.23 Our model can be configured to estimate the impact of increased photon count on stochastic printing failures. We compare failure rates of the baseline resist formulation CAR-1 with CAR formulations whose radiation sensitivity is significantly reduced, but whose components concentrations are unchanged; this avoids introducing confounding effects on counting statistics that accompany a change in component counts. To this end, we postulate an EUV CAR whose radiation chemistry is less efficient by a given factor in converting absorbed photons into photo-acid. To produce a resist image equivalent to CAR-1, the exposure dose (the number of photons impinging the resist) must be increased by that same factor to compensate for the lower conversion efficiency.

Equation (2), relating dose to photo-acid production, contains parameters whose values depend on radiochemical properties of the PAG and polymer.8 We will assume here unspecified modification of their chemical structures to adjust these parameters. As a first example, we reduce the efficiency with which the PAG-electron interaction creates photo-acid (the quantum efficiency ϕPAG) from its nominal value of 0.5 to 0.1 (formulation CAR-2); the exposure doses required to print a given space width thereby increase by a factor of five. In a second example, we reduce the total electron yield by increasing the value of the polymer IP by a large factor, from its nominal value of 10 to 60 eV (formulation CAR-3). Figure 11 compares failure rates predicted for these two cases with those for the baseline case.

Fig. 11

Impacts of reduced radiation sensitivity of the photoresist on stochastic failure rates as estimated by the model. Reducing the quantum efficiency reduces the failure rate, as does increasing the IP of the polymer, although to a lesser extent.

JM3_20_1_014603_f011.png

Increasing absorbed photon count particularly benefits in reducing break failures, with a smaller impact on bridge failures. The mean number of photons absorbed by an unexposed voxel is 8 times smaller than that absorbed by an exposed voxel under these conditions. Referring to Eq. 3, this means that the second term, the photon count contribution, to the relative standard deviation of photo-acid, is therefore greater by a factor of 8. It is expected that, in such cases where photon counts are small, their counting statistics will exert a large influence on failure rates.

4.3.

Dimensional Scaling

We highlighted in Fig. 3 the role that dimensional scaling plays in resist counting statistics. Scaling a feature by a factor S reduces the volume of the feature, and the mean component counts by its cube S3. How does such scaling shift our estimates of stochastic failure rates?

Consider imaging with a high NA EUV exposure system. We will assume the characteristics of the MET5 EUV exposure tool at Lawrence Berkeley National Laboratory24 as an illustrative example. This system has a NA of 0.5. By the Rayleigh equation, the ratio of the spatial resolutions of the MET5 to our baseline 0.33 NA exposure system will be 0.33/0.5=0.66; we use this as our scaling factor S. Our characteristic voxel dimension of 15 nm scaled by S gives a voxel dimension of 10 nm, reducing the voxel volume by a factor of 3.4. We also scale the 36-nm pitch of the line/space array by a value close to S, to 22-nm pitch. Employing an aerial image calculated25 for monopole exposure of a 22-nm pitch line/space array on the MET5 (showing an AIC of 82%, and listed as process condition HIGH-NA in Table 1) we apply our protocol to the scaled system.

Figure 12 summarizes failure rates estimated in this analysis using baseline resist formulation CAR-1. The scaled 22-nm HIGH-NA illumination condition leads to failure rates larger than those calculated for the 36-nm STD illumination condition by several orders of magnitude.

Fig. 12

Impact of dimensional scaling on stochastic failure rates as estimated by the model. Despite having a higher AIC, scaling to smaller dimensions resulted in higher failure rates by many orders of magnitude.

JM3_20_1_014603_f012.png

5.

Influence of Formulation on Failure Rates

5.1.

PAG and Quencher Loading

One message from our earlier discussion of counting statistics is that, in general, an increase in the count of PAG or quencher molecules in a voxel will effectively narrow their distribution and thereby attenuate the impact of counting statistics. At a fundamental level, the impact of changes in PAG and quencher count on failure rates is complex.

By Eq. (1), an increase in PAG count will lead to a proportionate increase in the amount of photo-acid formed with a given dose of EUV light; therefore, a lower EUV dose and fewer photons are required to effect pattern formation, which degrades the photon counting statistics. By the kinetic rate laws, an increase in quencher count increases the rate of its reaction with photo-acid; therefore, to achieve pattern formation, more photo-acid must be produced by increasing the exposure dose or the PAG amount, both of which change counting statistics.

We will not attempt at present to sort out this complex interplay, but provide examples applying the model to this question. Figure 13 compares failure rates of the baseline case (5 wt% PAG loading, CAR-1) with those where the molar concentration of PAG has been tripled (15 wt% PAG loading, CAR-4, denoted 3× on the figure) and reduced (CAR-5, denoted 0.6× on the figure). In each case, the concentration of quencher has been modified as well such that the PAG/quencher molar ratio stays constant. It is worth noting the general trend that the failure rate for both bridges and breaks decreases as the PAG loading increases.

Fig. 13

Impact of PAG loading (for constant PAG/quencher molar ratio) on stochastic failure rates as estimated by the model. The general trend is a decrease in failure rate for both bridges and breaks as the PAG loading increases.

JM3_20_1_014603_f013.png

Figure 14 shows a similar comparison where the quencher loading is varied above (formulation CAR-6) and below (formulation CAR-7) the nominal 0.4 Q/PAG ratio. Both bridge and break failure rate decrease moderately with increasing quencher amount.

Fig. 14

Impact of quencher/PAG ratio on stochastic failure rates as estimated by the model. A moderate decrease in failure rate with increasing quencher amount is predicted.

JM3_20_1_014603_f014.png

Engineering studies examining the impact of PAG5,22 and quencher3,20 amounts on stochastic printing failures have been reported. Experimentally, increased PAG loading initially shows a similar trend as seen here, where failure rates decrease.5,22 As loading is further increased, the trend reverses and failure rates rise. The authors suggest PAG aggregation/segregation, or a degradation in dissolution properties, as possible explanations for this reversal. Our model is readily extended to include component aggregation effects as described in the following section.

5.2.

PAG and Quencher Aggregation

Aggregations of both quencher and PAG are expected to have detrimental impacts on counting statistics since the population of entities that we count is now smaller by a factor equal to the average aggregate size. We estimated the effect when aggregation of PAG or of quencher are imposed on the standard formulation CAR-1. For the PAG example, we assume an aggregate contains on average of three PAG molecules and that the efficiency of electron capture by PAG is unaffected by aggregation; therefore the photoacid yield from the aggregate composition equals that of the unaggregated molecular PAG. In like manner, we assume that quencher forms an aggregate averaging three molecules and that each quencher aggregate has acid-neutralizing capacity equivalent to three isolated molecules.

Figure 15 shows the results of calculations of these two cases. In both, the counting statistics for both PAG and quencher are degraded by aggregation, and this is reflected in significant increases in failure rates, with PAG aggregation the more damaging effect.

Fig. 15

Impact of aggregation on stochastic failure rates as estimated by the model. Significant increases in failure rate occur with aggregation for both PAG and quencher with PAG aggregation having a more damaging effect.

JM3_20_1_014603_f015.png

5.3.

Photodecomposable Quencher Loading

Photodecomposable quencher (PDQ) additives are often used in lieu of conventional base quenchers to improve resist photospeed and resolution. Typical PDQs are structurally similar to onium salt PAGs, with the PAG’s strongly acidic anion replaced by a more basic anion in the PDQ. In early work hydroxide anion was employed,26 but even weakly basic carboxylates are suitable anions. Upon exposure, a PDQ undergoes the same chemistry as a PAG, the difference being that the PDQ yields a neutralized and inert quencher as final product rather than a strong acid. Thus, the patterning exposure creates a spatial distribution of quencher in the resist film that is complementary to the photacid profile.

Figure 16 shows failure rates calculated when PDQ replaces conventional quencher in our baseline formulation. Three PDQ loadings, molar ratios of 0.2, 0.4, and 0.6 PDQ/PAG, were examined (formulations CAR-8, CAR-9, and CAR-10, respectively). These data can be compared with those for the same loadings of conventional quencher shown in Fig. 14. Qualitatively, trends are the same (bridge failures and break failures both decrease with increasing quencher loading). Quantitative comparison shows that, at equivalent loadings, PDQ is predicted to consistently provide lower failure rates; at the 0.4 loading ratio, the improvement conferred by PDQ is a factor of 25.

Fig. 16

Impact of PDQ/PAG ratio on stochastic failure rates as estimated by the model. Bridge and break failures both decrease with increasing PDQ loading, and consistently have lower failure rates compared to conventional quencher.

JM3_20_1_014603_f016.png

6.

Alternate Imaging Chemistries

We examine two variants on the classic CAR imaging chemistry. Both are designed to improve photosensitivity and are of interest for application to EUV lithographic imaging. In the first variant, a precursor molecule termed an acid amplifier (AA) is added to a conventional CAR formulation. Acid-catalyzed decomposition of this precursor releases an acidic product,27 establishing an autocatalytic cycle that can increase the overall acid available in a supralinear way. The modified photoresist formulation (which we will term an AA-CAR) is processed in the conventional manner, with a reduced imaging dose required.

The second variant, known as Photo-Sensitized CA Resist (PSCAR), incorporates both an additive and an additional processing step.28 Many PAGs, in particular onium salts, generate photoacid in the presence of suitable photosensitizers (PSs).29 In PSCAR, a latent PS (a PS precursor, PP) is added to a standard CAR formulation. The PP is designed to convert to an active PS under the catalytic action of photo-acid. Following EUV pattern-wise exposure, PP is converted to PS only in the exposed regions of the patterned film. An ensuing deep ultraviolet (DUV) flood exposure of the resist film excites the newly formed PS, which interacts with proximal PAG molecules to produce more acid. The result is a localized amplification of the acid formed by the initial exposure.

The net effect of both techniques, AA and PSCAR, is to increase the yield of photogenerated acid. They are similar in several respects:

  • 1. Both reduce the EUV imaging dose requirement, which will degrade the photon counting statistics.

  • 2. Both introduce new additives as a minor component, which can contribute to statistical variations in composition.

  • 3. Both generate a second separate source of catalytic acid with population statistics different from acid generated on direct exposure.

As the AA-CAR system is the simpler approach it will discussed first.

6.1.

Acid Amplifiers

A wide range of AA structures has been examined for efficacy in lithographic applications.30 In-depth studies probing the influence of AA structure on photoacid yield and lithographic performance have been reported by the Brainard and coworkers,3135 where they establish the key attributes of an effective amplifier (e.g., acid pKa, thermal stability, and relative reactivity).

We have previously seen that the counting statistics of the acid catalyst play a key role in determining the rate of printing failures and one might speculate that the increased acid yield of overall acid would lead to reduced defectivity. However as listed above, other factors must be accounted for which are illustrated in the following analysis.

6.1.1.

AA model details

Our standard CAR kinetic model can be expanded to include the AA chemistry and physics. First, we add protonation/deprotonation of the AA molecule to the manifold of rapid equilibria that distribute the acidic protons among all species that can be protonated. We additionally introduce a new reaction step, a first-order decomposition of the protonated AA to form acid:

Eq. (4)

AAH+2H++inert byproduct.

In addition, we make the following assumptions:

  • i. There is no direct uncatalyzed thermal decomposition of the AA.

  • ii. The thermally generated acid is identical in chemical and physical properties to the photogenerated acid.

  • iii. The initial concentration of the AA is equal to that of the PAG (0.116 molar) consistent with published studies.

  • iv. The first order rate constant for Eq. (4) is 2.39  s1 a value established by an iterative procedure to give a two-fold improvement in dose to size compared with the baseline CAR-1 formulation.

This example requires that a third dimension be added to our combinatorial kinetics simulation to generate lookup tables that relate the voxel solubility to photo-acid, quencher, and AA amount. As before the counts of each are varied over a ±7σ range.

6.1.2.

AA comparison with CAR

Figure 17 summarizes our analysis for this AA-CAR model, comparing failure rates for the baseline CAR-1 material with the same material containing 1 mol equivalent AA/mol PAG (formulation AACAR-1). The dose requirement of the AA formulation is less than the standard formulation by nearly a factor of two (see Table 2). We have done similar analysis for systems where the conventional quencher is replaced with PDQ formulations (CAR-9 and AACAR-2) (not shown). The results are essentially identical; in both cases the added AA leads to a large increase in failure rates.

Fig. 17

Impact of AA addition on failure rates of resist using standard quencher.

JM3_20_1_014603_f017.png

A conventional CAR comprises a single source of acid catalyst, which is generated upon image-wise exposure. Here, the population statistics of H+hv are governed by the counting statistics of EUV photons, PAG molecules, and secondary electrons. AA-CAR on the other hand adds a second independent path where additional acid H+AA forms by thermally activated decomposition of AA. In this case, the population statistics of H+AA will be governed by counting statistics of the relevant reactive species: photo-acid, quencher, and AA. Since once H+AA is formed it can itself catalyze AA decomposition, this adds further complexity.

Figure 18 shows a specific example that illustrates how the two acid routes bring about an overall deterioration of total acid counting statistics. We compare two exposed voxels, the first for the standard CAR formulation CAR-1 in Fig. 18(a) and the second for the related AA-CAR formulation AACAR-1. We select EUV exposure conditions for each voxel such that a total of 188 acid molecules has been formed in both by the end of the process (to yield approximately the same feature size). In the CAR-1 voxel, all 188 acid molecules derive from initial EUV exposure (H+hv), whereas in the AACAR-1 voxel an initial fraction (H+hv) derives from EUV exposure and the remaining portion (H+AA) from AA decomposition during PEB. Figure 18 tabulates, for these two voxels, the acid count formed at each process stage; these are the central (dark-shaded) columns in Figs. 18(a) and 18(b). In this particular case, one-half of the acids in the AACAR-1 voxel derive from EUV exposure the other half from AA thermolysis.

Fig. 18

Examples of acid counting statistics for (a) standard CAR and (b) CAR with AA. The dark-shaded squares represent exposed voxels. The lighter-shaded columns to the left and right tabulate acid counts in voxels with an incremental increase or decrease in initial H+hv count. An increment of +/1.4σ was calculated using Eq. (3). (a) shows the acid counts at +/1.4σ for the standard CAR formulation. (b) shows analogous results for CAR with AA, where the same nominal total acid is formed but displays much higher variation in acid counts.

JM3_20_1_014603_f018.png

The lighter-shaded columns to the left and right tabulate acid counts in voxels with an incremental increase or decrease in initial H+hv count but otherwise are identical to the center voxels. We choose an increment of +/1.4σ [calculated using Eq. (3)] here for convenience; our combinatorial matrix of kinetic simulations varies component amounts in steps of 0.7σ so 1.4σ represents two steps from the H+hv counts of the center voxels.

In the CAR-1 case [Fig. 18(a)], the initial spread in H+hv count is 11% and this spread is maintained throughout the process. In the AACAR-1 case [Fig. 18(b)], the initial spread of H+hv count is larger (20%) since a lower dose is needed to form a smaller number of H+hv. During PEB, the population of H+AA grows as AA acidolysis proceeds, and by process completion the spread in H+AA count exceeds the initial spread in H+hv. The spread in the final total acid count for AACAR-1 in Fig. 18(b) far exceeds that for the standard CAR-1 process of Fig. 18(a). Both the adverse consequence of decreased photon count, and the acid amplification step itself contribute to this broader spread, increasing failure rates. Our initial speculation that increased acid should be dominant and lead to reduced defectivity has been shown to be incorrect.

6.1.3.

AA counting statistics

AA-CAR adds a third minor component to the resist film. To what extent do counting statistics of the AA contribute to the overall degradation of acid statistics? We compared calculated failure rates where the concentration was varied over our standard +/7σ range to simulations where the AA counts were held over all voxels at the mean value. This removes any contribution attributable to AA counting statistics. Both cases yield identical failure rate curves, an indication that AA counting statistics do not contribute significantly to the observed result, at least for the formulation assumed here (1 mol AA/mol PAG).

6.1.4.

AA and quencher loading effects

Kruger et al. used mathematical modeling to examine the effect of AA and quencher loadings and saw an improvement in the LER defectivity with increased quencher.34 To test how these concentration changes impact bridge and break defects, we evaluated the impact of an increased quencher/AA ratio on stochastic failure rates. Three related resist compositions were compared: AA-CAR with PDQ/PAG molar ratio 0.4 (formulation AACAR-2), AA-CAR with a threefold increase in PDQ (formulation AACAR-3), and standard CAR with PDQ (formulation CAR-9). The biggest effect was observed for the AACAR-3 formulation, which exhibits sharply reduced failure rates when compared to the standard formulation, particularly for break failure rates.

Recall that break failures arise from an excess of acid or insufficient quencher, leading to sufficient polymer deprotection to solubilize the unexposed region. An increased quencher concentration induces more competitive acid-base neutralization that (i) reduces the extent of deprotection by any photo-acid H+hv, and (ii) reduces the rate of decomposition of AA so less H+AA is formed.

6.2.

PSCAR

PSCAR technology is intended to provide both improved resist EUV sensitivity and sharpen chemical contrast at the line edge to reduce LER.3639 The PSCAR concept has evolved in stages over time and we focus here on the iteration designated as PSCAR 2.0. In PSCAR 2.0, the resist incorporates a PDQ that, in addition to its expected response to image-wise exposure, is also subject to photosensitized decomposition, behavior analogous to that of PAG.37

6.2.1.

PSCAR model details

For calculation purposes, the PSCAR process is treated in step-wise fashion as shown below.39 The sequence is

  • 1. Pattern-wise EUV exposure to produce photoacid identical to that of the standard CA resist case described in Sec. 2.

  • 2. Room temperature hydrolysis of the ketal precursor (PP) to produce PS. We treat this conversion step as analogous to the acid-catalyzed hydrolytic deprotection chemistry of KRS resist40,41 and incorporate additional rate equations and kinetic parameters consistent with the well-established mechanism for ketal hydrolysis.42

  • 3. Flood DUV exposure where the PS produced in Step 2 sensitizes the remaining PAG and PDQ remaining in the film toward decomposition. We apply here a simple exposure model as described by Carcasi et al.39

  • 4. A final PEB step uses the total distribution of photo-acid formed by both EUV and DUV exposures, and the overall distribution of PDQ remaining, to then calculate the extent of polymer deprotection using our standard kinetic model.

The PSCAR model parameters are summarized in Table 3.

Table 3

PSCAR model parameters used in this study.

ParameterValueReference
Rate constant protonated PP + H2O → hemiketal1.1  l/mol-s (effective half-life of the PP of 13  s)43
Film H2O content1 weight percent44
PP loading0.3 mol PP/ mol PAG
PS molar extinction coefficient at 365 nm1000  l/mol-cm
DUV flood exposure dose at 365 nm500  mJ/cm2
Dill C for PAG photosensitization to form photo-acid0.003675  cm2/mJ45
Dill C for PDQ sensitization PDQ to form regenerated PAG0.003675  cm2/mJ45

6.2.2.

PSCAR comparison with CAR

Figure 19 compares the calculated failure rates of standard CAR formulation with PDQ (CAR-9) with the equivalent material PSCAR material (PSCAR-1). The PSCAR process produces significantly higher stochastic failure rates.

Fig. 19

Impact of PSCAR imaging process results in significantly higher stochastic failure rates.

JM3_20_1_014603_f019.png

Both PSCAR and AA are designed to increase overall acid. However, the PSCAR acid generation process is more complex than AA in that it requires multiple steps to effect amplification: EUV image-wise exposure, acid-catalyzed decomposition of PP, and DUV sensitized photolysis of PAG. The overall population statistics of H+PS therefore combine the counting statistics of the PAG, H+hv, quencher, the precursor PP, and the sensitizer PS. We will ignore here photon counting statistics of the DUV flood exposure since we estimate the DUV photon count is greater than the EUV count by a factor of 700.

6.2.3.

PP counting statistics

Following the same protocol described for AA, we can assess how statistical variation of counts of the PP minor component influences stochastic failure rates. Comparing simulations for two cases of the PSCAR-1 formulation, where the initial PP count either encompasses a +/7σ range, or is constrained at its mean value, we find the calculated failure rates are identical, as was the case for the AA results. PP counting statistics do not significantly contribute to stochastic failures.

6.2.4.

PSCAR photon counting statistics

To assess the specific contribution of EUV photon statistics, we define an PSCAR resist system PSCAR-2 whose photospeed is tuned to be equal to that of the matching CAR formulation CAR-5, so the same number of EUV photons must be absorbed to achieve imaging at a given linewidth but while still requiring the PSCAR sensitization. This is done by reducing the value of the PAG quantum efficiency φPAG until doses to size match for these two cases (a change from φPAG=0.5 to 0.175). In this case, a reduction of stochastic failure rates is realized but not to a level equivalent to that of conventional CAR-5 formulation. Our results indicate, therefore, that even under conditions where equal mean number of EUV photons are absorbed and an equal mean number of acid molecules are formed overall, the PSCAR process results in an increase in stochastic failures compared to the standard CAR. This is a measure of the contribution of the photosensitization pathway for acid generation to the overall imaging stochastics.

6.2.5.

PSCAR quencher loading effects

Carcasi et al.39 have indicated that PSCAR can benefit from increased quencher loading much such as the AA case. Calculations of stochastic failure rates for a PSCAR formulation PSCAR-3 with an increased quencher loading (1.2 mol ratio PDQ/ mol PAG) show bridge defect failure rates roughly equivalent to the matching CAR formulation CAR-5, whereas break defect rates are substantially lower. The result is much in line with the equivalent calculation for the AA formulation AACAR-3. The same factors highlighted in the AA case (improved photon and quencher counting statistics, and the effect of greater overall quencher amounts on suppressing break defects) are equally at play here.

7.

Summary

We have outlined here a simple, intuitive physicochemical description of stochastic printing failures. When combined with combinatorial calculations of CA resist PEB kinetics and a Monte Carlo analysis, stochastic printing failure rates for EUV photoresists can be estimated. This approach, based solely on how the photon, PAG, and quencher counting statistics impact deprotection kinetics and solubility, provides results consistent with experimental reports. With physically meaningful parameters as inputs, this model provides a mechanism for prediction of the potential impacts of resist formulation, compositional, and process changes on printing failures.

With an eye toward aiding resist design, we have applied the method to survey the impact of materials and process modifications on stochastic failure rates. Table 4 summarizes predictions made in this survey, which is intended to provide an initial foundational framework to focus future resist materials development and optimization on the key contributors to EUV resist stochastics. The utility in this approach is particularly apparent when it is applied to more complex formulations such as those described for the AA and PSCAR cases. While the simulations for a simple example such as that shown for aggregation of PAG or quencher match intuition, this was not the case for these complex systems. Moreover, as shown for the AA example the simulations also can provide insight into the physical processes leading to changes in defectivity.

Table 4

Summary of model predictions.

Changed attributeMaterialConditionsMinimum failure rateDose at min. failure rate
RelativeCalculatedRelativeCalculated (mJ/cm2)
None (std. resist case)CAR-1STD12e−7121.7
Reduced AICCAR-1LOW-AIC7001.4e−41.328.1
Increased photon count (reduce QY)CAR-2STD0.024e−95.4117
Increased photon count (increase IP)CAR-3STD0.051e−81.736.4
Dimensional scaling (22 nm pitch)CAR-1HIGH-NA40008e−40.7215.7
PAG loading (3× std.)CAR-4STD0.011e−90.6714.5
PAG loading (0.6× std.)CAR-5STD255e−61.737.8
Quencher loading (Q/PAG 0.6)CAR-6STD2.55e−71.635.4
Quencher loading (Q/PAG 0.2)CAR-7STD153e−60.6714.6
PAG aggregation (3 PAG/aggr.)CAR-1STD10002e−41.225.0
Quencher aggregation (3Q/aggr.)CAR-1STD501e−50.9220.0
PDQ loading (0.2 PDQ/PAG)CAR-8STD24e−70.6013.1
PDQ loading (0.4 PDQ/PAG)CAR-9STD0.047e−90.8117.5
PDG loading (0.6 PDQ/PAG)CAR-10STD0.0036e−10121.9
Acid amplifier (std. Quencher)AACAR-1STD501e−50.6313.6
Acid amplifier (0.4 PDQ/PAQ)AACAR-2STD255e−60.5111.1
Acid amplifier (1.2 PDQ/PAQ)AACAR-3STD0.00035e−111.224.9
PSCAR 2.0 (0.4 PDQ/PAG)PSCAR-1POST-DUV25005e−40.378
PSCAR 2.0 (reduced sensitivity)PSCAR-2POST-DUV102e−61.022.5
PSCAR 2.0 (1.2 PDQ/PAG)PSCAR-3POST-DUV0.023e−90.8217.9

This general approach is readily extendible to include even more complex systems. For example, the threshold solubility model can be replaced with more sophisticated dissolution models that include surface induction, dissolution inhibition by PAG, and so on. We also anticipate that this general approach can be extended to evaluation of negative tone resists, monocomponent systems such as PMMA, and other imaging systems for which an appropriate numerical model is available.

Acknowledgments

We thank Kafai Lai and Martin Burkhardt of IBM Corporation for assistance in EUV scanner modeling, Patrick Naulleau of Lawrence Berkeley National Laboratory for his optical calculations of the MET5 tool, and thanks to Seiji Nagahara and Seiichi Tagawa for discussion of PSCAR imaging chemistry. A portion of this work has been published as a proceedings paper [M. I. Sanchez, G. M. Wallraff, N. Megiddo, and W. D. Hinsberg, Proc. SPIE 11147, 1114717 (2019)].

References

1. 

H. J. Levinson, Principles of Lithography, 82 –92 3rd edSPIE Press, Bellingham, Washington (2011). Google Scholar

2. 

P. De Bisschop et al., “Impact of stochastic effects on EUV printability limits,” Proc. SPIE, 9048 904809 (2014). https://doi.org/10.1117/12.2047827 PSISDG 0277-786X Google Scholar

3. 

P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures,” J. Micro/Nanolith. MEMS MOEMS, 16 041013 (2017). https://doi.org/10.1117/1.JMM.16.4.041013 Google Scholar

4. 

P. De Bisschop and E. Hendrickx, “Stochastic printing failures in EUV lithography,” Proc. SPIE, 10957 109570E (2019). https://doi.org/10.1117/12.2515082 PSISDG 0277-786X Google Scholar

5. 

A. De Silva et al., “Fundamentals of resist stochastics effect for single-expose EUV patterning,” Proc. SPIE, 10957 109570F (2019). https://doi.org/10.1117/12.2515926 PSISDG 0277-786X Google Scholar

6. 

R. L. Bristol and M. E. Krysak, “Lithographic stochastics: beyond 3σ,” J. Micro/Nanolith. MEMS MOEMS, 16 023505 (2017). https://doi.org/10.1117/1.JMM.16.2.023505 Google Scholar

7. 

F. H. Dill et al., “Characterization of positive photoresist,” IEEE Trans. Electron Devices, 22 445 –452 (1975). https://doi.org/10.1109/T-ED.1975.18159 IETDAI 0018-9383 Google Scholar

8. 

C. Mack et al., “Stochastic exposure kinetics of extreme ultraviolet photoresists: simulation study,” J. Micro/Nanolith. MEMS MOEMS, 10 033019 (2011). https://doi.org/10.1117/1.366356710.1117/12.881066 Google Scholar

9. 

H. Fukuda, “Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography,” J. Micro/Nanolith. MEMS MOEMS, 19 024601 (2020). https://doi.org/10.1117/1.JMM.19.2.024601 Google Scholar

10. 

W. Hinsberg and F. Houle, “Kinetiscope–a stochastic kinetics simulator,” http://hinsberg.net/kinetiscope/ Google Scholar

11. 

G. Wallraff et al., “Thermal and acid-catalyzed deprotection kinetics in candidate deep-ultraviolet resist materials,” J. Vac. Sci. Technol. B, 12 3857 (1994). https://doi.org/10.1116/1.587454 Google Scholar

12. 

F. A. Houle et al., “Determination of coupled acid catalysis-diffusion processes in a positive-tone chemically amplified photoresist,” J. Vac. Sci. Technol. B, 18 1874 –1885 (2000). https://doi.org/10.1116/1.1303753 JVTBD9 1071-1023 Google Scholar

13. 

F. A. Houle et al., “Influence of resist components on image blur in a patterned positive-tone chemically amplified photoresist,” J. Vac. Sci. Technol. B, 20 924 (2002). https://doi.org/10.1116/1.1475985 JVTBD9 1071-1023 Google Scholar

14. 

J. G. Maltabes et al., “1× deep-UV lithography with chemical amplification for 1-micron DRAM production,” Proc. SPIE, 1262 16 (1990). https://doi.org/10.1117/12.20090 PSISDG 0277-786X Google Scholar

15. 

F. A. Houle, W. D. Hinsberg and M. I. Sanchez, “Acid–base reactions in a positive tone chemically amplified photoresist and their effect on imaging,” J. Vac. Sci. Technol. B, 22 747 (2004). https://doi.org/10.1116/1.1688351 JVTBD9 1071-1023 Google Scholar

16. 

X.-D. Xiang et al., “A combinatorial approach to materials discovery,” Science, 268 1738 –1740 (1995). https://doi.org/10.1126/science.268.5218.1738 SCIEAS 0036-8075 Google Scholar

17. 

I. Takeuchi, J. Lauterbach and M. J. Fasolka, “Combinatorial materials synthesis,” Matls. Today, 8 18 –26 (2005). https://doi.org/10.1016/S1369-7021(05)71121-4 Google Scholar

18. 

D. Maclean et al., “Glossary of terms used in combinatorial chemistry,” Pure Appl. Chem., 71 2349 –2365 (1999). https://doi.org/10.1351/pac199971122349 PACHAS 0033-4545 Google Scholar

19. 

J. L. Beck, K. M. Zuev, “Rare event simulation,” Handbook of Uncertainty Quantification, Springer International, Switzerland (2015). Google Scholar

20. 

P. De Bisschop and E. Hendrickx, “Stochastic effects in EUV lithography,” Proc. SPIE, 10583 105831K (2018). https://doi.org/10.1117/12.2300541 PSISDG 0277-786X Google Scholar

21. 

M. Sanchez et al., “Aerial image contrast using interferometric lithography: effect on line-edge roughness,” Proc. SPIE, 3678 1 –12 (1999). https://doi.org/10.1117/12.350198 PSISDG 0277-786X Google Scholar

22. 

P. De Bisschop, “Stochastic printing failures in extreme ultraviolet lithography,” J. Micro/Nanolith. MEMS MOEMS, 17 041011 (2018). https://doi.org/10.1117/1.JMM.17.4.041011 Google Scholar

23. 

P. P. Naulleau et al., “Critical challenges for EUV resist materials,” Proc. SPIE, 7972 797202 (2011). https://doi.org/10.1117/12.882955 PSISDG 0277-786X Google Scholar

24. 

C. Anderson et al., “Overview and status of the 0.5NA EUV microfield exposure tool at Berkeley Lab,” Proc. SPIE, 10957 1095708 (2019). https://doi.org/10.1117/12.2516339 PSISDG 0277-786X Google Scholar

25. 

P. Naulleau, Google Scholar

26. 

S. Funato et al., “Photodecomposable bases. A novel concept to stabilize chemically amplified resists,” J. Photopolym. Sci. Technol., 8 543 –553 (1995). https://doi.org/10.2494/photopolymer.8.543 JSTEEW 0914-9244 Google Scholar

27. 

K. Arimitsu et al., “Sensitivity enhancement of chemical amplification-type photoimaging materials by acetoacetic acid derivatives,” J. Photopolym. Sci. Technol., 8 43 –44 (1995). https://doi.org/10.2494/photopolymer.8.43 JSTEEW 0914-9244 Google Scholar

28. 

S. Tagawa, S. Enomoto and A. Oshima, “Super high sensitivity enhancement by photo-sensitized chemically amplified resist (PS-CAR) process,” J. Photopolym. Sci. Technol., 26 825 –830 (2013). https://doi.org/10.2494/photopolymer.26.825 JSTEEW 0914-9244 Google Scholar

29. 

J. V. Crivello, “Cationic polymerization—iodonium and sulfonium salt photoinitiators,” Adv. Polym. Sci., 62 1 –48 (1984). https://doi.org/10.1007/BFb0024034 APSIDK 0065-3195 Google Scholar

30. 

K. Ichimura, “Nonlinear organic reactions to proliferate acidic and basic molecules and their applications,” The Chem. Rec., 2 46 –55 (2002). https://doi.org/10.1002/tcr.10013 Google Scholar

31. 

R. Brainard et al., “Kinetics, chemical modeling and lithography of novel acid amplifiers for use in EUV photoresists,” J. Photopolym. Sci. Technol., 22 43 –50 (2009). https://doi.org/10.2494/photopolymer.22.43 JSTEEW 0914-9244 Google Scholar

32. 

R. Brainard et al., “Lithographic evaluation and chemical modeling of acid amplifiers used in EUV photoresists,” Proc. SPIE, 7273 72733Q (2009). https://doi.org/10.1117/12.814308 PSISDG 0277-786X Google Scholar

33. 

S. A. Kruger et al., “Catalytic and autocatalytic mechanisms of acid amplifiers for use in EUV photoresists,” Chem. Mater., 22 5609 –5616 (2010). https://doi.org/10.1021/cm101867g CMATEX 0897-4756 Google Scholar

34. 

S. Kruger et al., “Lithography and chemical modeling of acid amplifiers for use in EUV photoresists,” J. Photopolym. Sci. Techn., 24 143 –152 (2011). https://doi.org/10.2494/photopolymer.24.143 JSTEEW 0914-9244 Google Scholar

35. 

G. M. Gallatin, P. P. Naulleau and R. L. Brainard, “Modeling the effects of acid amplifiers on photoresist stochastics,” Proc. SPIE, 8322 83221C (2012). https://doi.org/10.1117/12.917006 PSISDG 0277-786X Google Scholar

36. 

T. Nagai et al., “Novel high sensitivity EUV photoresist for sub-7nm node,” Proc. SPIE, 9779 977908 (2016). https://doi.org/10.1117/12.2218936 PSISDG 0277-786X Google Scholar

37. 

S. Nagahara et al., “Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure,” Proc. SPIE, 10146 101460G (2017). https://doi.org/10.1117/12.2258217 PSISDG 0277-786X Google Scholar

38. 

S. Tagawa, “New PSCAR concept promising high sensitivity resist overcoming problems of RLS trade-off, LER and stochastic defects,” Proc. SPIE, 10960 109600E (2019). https://doi.org/10.1117/12.2514817 PSISDG 0277-786X Google Scholar

39. 

M. Carcasi et al., “Simulation and experimentation of PSCAR chemistry for complex structures,” Proc. SPIE, 10143 1014329 (2017). https://doi.org/10.1117/12.2258166 PSISDG 0277-786X Google Scholar

40. 

J. Bucchignano et al., “E-beam application to mask making using new improved KRS resist system,” US Patent 6,043,003 (2000).

41. 

B. M. Rathsack et al., “Characterization of an acetal-based chemically amplified resist for 257-nm laser mask fabrication,” Proc. SPIE, 4186 578 –588 (2001). https://doi.org/10.1117/12.410739 PSISDG 0277-786X Google Scholar

42. 

E. H. Cordes and H. G. Bull, “Mechanism and catalysis for hydrolysis of acetals, ketals, and ortho esters,” Chem. Rev., 74 581 –603 (1974). https://doi.org/10.1021/cr60291a004 CHREAY 0009-2665 Google Scholar

43. 

S. Burns et al., “Effect of humidity on deprotection kinetics in chemically amplified resists,” Proc. SPIE, 4690 321 –331 (2002). https://doi.org/10.1117/12.474230 PSISDG 0277-786X Google Scholar

44. 

C. M. Berger and C. L. Henderson, “Equilibrium sorption and rate of diffusion of water into photoresist thin films,” Proc. SPIE, 5039 984 –995 (2003). https://doi.org/10.1117/12.485079 PSISDG 0277-786X Google Scholar

45. 

S. Nagahara et al., “EUV resist chemical gradient enhancement by UV flood exposure for improvement in EUV resist resolution, process control, roughness, sensitivity and stochastic defectivity,” Proc. SPIE, 11326 113260A (2020). https://doi.org/10.1117/12.2552166 PSISDG 0277-786X Google Scholar

Biography

William D. Hinsberg received his doctorate in chemistry at the California Institute of Technology, followed by postdoctoral work at Stanford University. He joined IBM Corporation in 1982, working in thin-film process chemistry for magnetic recording devices and the chemistry of new photoresist materials. He has numerous awards, including an IBM Corporate Award for development of stochastic kinetics simulation methods. He has published more than 150 scientific papers and is inventor on more than thirty issued US patents. Currently, he is founder and president of Columbia Hill Technical Consulting.

Gregory M. Wallraff received his PhD in organic chemistry from the University of Utah. He joined IBM Research in 1987. His primary focus was on the development and characterization of new photoresists and lithographic technology. He has over 120 publications and 40 patents.

Martha I. Sanchez received her BS and MS degrees in chemical engineering from the University of Maryland at College Park and Stanford University, respectively. She worked at IBM for over 35 years, working on development of high resolution electron-beam and extreme ultraviolet resists, and fundamental studies of photoresists. She is currently at a startup company managing the materials characterization group. She has coauthor of approximately 80 publications, and holds 7 patents. She has chaired several conferences and is an SPIE Fellow.

Nimrod Megiddo, an IBM distinguished research staff member, formerly professor of statistics and operations research at Tel Aviv University, also taught at Stanford, Carnegie Mellon, and Northwestern. His contributions were recognized by John von Neumann Theory Prize, Frederick W. Lanchester Prize, and a Test-of-Time award for the Adaptive Replacement Caching algorithm. He contributed to the areas of optimization, game theory, algorithms and complexity, computational geometry, and operations research in general. He holds 76 patents.

Oleg Kostko obtained his doctoral degree from the University of Freiburg, Germany, in 2007. The same year he joined the Berkeley Lab as a postdoctoral fellow. After a short stay at SRI International, where he studied atmospherically relevant processes, he returned to the Berkeley Lab to lead an effort for developing novel soft x-ray spectroscopies on nanoscale systems. He also investigates fundamental processes in EUV induced chemistry.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
William D. Hinsberg, Gregory M. Wallraff, Martha I. Sanchez, Nimrod Megiddo, and Oleg Kostko "Contribution of EUV resist counting statistics to stochastic printing failures," Journal of Micro/Nanopatterning, Materials, and Metrology 20(1), 014603 (2 March 2021). https://doi.org/10.1117/1.JMM.20.1.014603
Received: 12 August 2020; Accepted: 29 January 2021; Published: 2 March 2021
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Stochastic processes

Printing

Extreme ultraviolet

Extreme ultraviolet lithography

Photoresist materials

Monte Carlo methods

Photon counting

Back to Top