PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
rrhe degradation of single crystal silicon by low pressure silicon dry etch processes was investigated with thermal wave measurements performed on unstructured silicon substrates. The wafers were processed in a magnetically confined tn-electrode reactor which allows independent control of the generation and of the extraction of the reactive species towards the wafer. rrhe increase of the thermal wave signal intensity by plasma processing was found to be mainly due to the kinetic energy of the reactive species and related to structural damage induced by implanted reactive species. The impact of the two RF power levels used on the damage level is represented by iso-damage lines in the plane of both RF power levels. In the low damage regime ofprocess parameters within this plot trenches have been etched into single crystal silicon for the realization ofcell structures for 16 and 64Mbit DRAMs and for an advanced isolation technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New developments in the area of etching are focused on an etch system/process that has similar results to RIE (such as anisotropy and high selectivity to the underlying layer) while operating at lower DC biases. This is to minimize the charge build up on the gate structure and minimize the substrate damage during the plasma etch process. Magnetron Enhanced Reactive Ion Etching (MERlE) has the advantage of lowering the DC bias as the magnetic field increases while maintaining acceptable etch rates for throughput requirements. A low DC bias results in a lower charge build up on the gate structure during the plasma etch process. The use of the Magnetic Field in MERlE also increases etch rates by increasing the density of the ionized species. In this study the plasma exposed oxide surface composition and thickness after an HBR/C12 based polysilicon etch and after various surface treatment/cleaning processes is investigated by Auger Electron Spectroscopy (AES) and Scanning Ion Mass Spectroscopy (SIMS). The Chlorine and Bromine impingement into the top surface of the gate oxide as determined by AES and SIMS analysis was correlated to the etch rate of this layer in a dilute Hydroflouric acid solution. The effect of process variation in a low pressure low power MERlE HBr/Cl/He/O2 chemistry on the poly to oxide selectivity total gate oxide loss and thickness of the plasma exposed oxide is also studied in this
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A survey is given of the field of dry etch simulation. The state-of-the-art of sheath and profile simulation is discussed using the simulator ADEPT as an example. Different approaches for two-dimensional simulation are presented starting with simple rate models as known from SAMPLE. The use of more complex rate models by ADEPT is shown. The integration of surface process models into the two-dimensional profile simulation is demonstrated using an application of the generalized plasma etching model of Zawaideh. A new simulation approach is introduced based on the cell removal algorithm and Monte-Carlo methods. Surface process models are visualized and three-dimensional geometrical effects are demonstrated with this new approach.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A continuum model for radiofrequency discharges valid at low pressures is presented. The analysis involves solution of the moments of the Boltzmann transport equations. A case study of 13. 56 MHz argon discharge at 300 mTorr is presented as an example. The analysis indicates that the major source of ionization is the bulk region of the discharge in contrast for discharges above 0. 5 Torr previous continuum models showed that the ionization rate peaks near the sheath/glow interface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ion transport through the sheath as the process that primarily determines the energy and angular distributions of ions striking the substrate is simulated with Monte-Carlo methods. The effect of pressure on these distributions is known to be characterized by the proportion of mean free path to sheath thickness while the influence of frequency on the energy and angular distributions may be characterized by the proportion of the period of the applied voltage to the time the ion spents in the sheath. The even at high frequencies frequently observed double-peaked shape of the energy spectra is investigated and the dependence of the angular distribution on energy is shown to be contingent on the influence of collisions within the sheath. Finally the influence of the divergence of the ion stream represented by the ion angular distribution on the final profile evolution is demonstrated with the SPEED-Simulator (Simulation of profile evolution with etching and deposition)14.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Oxygen plasmas either in a reactive ion etching reactor or in a reactive ion beam etcher are used to demonstrate the capability to produce sub-half-micron features in photoresists with high aspect ratios in multi-level technique. Lower local etch rates for structures with increasing aspect ratios are evaluated. The geometry limited flux of neutrals into the structures leads to decreasing etch rates of the bottom resist with increasing aspect ratio. The role of sidewall passivation films for highly anisotropic etching is discussed. Sidewall passivation films are extremely stable with respect to further processing. Even highly reactive plasmas are not able to remove the passivating films completely. In all our experiments of re sist patterning in 02-plasmas we saw that highly anisotropic etching works only with a sidewall passivating layer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
While the main thrust for downstream reactors has so far focussed on electron cyclotron resonance (ECR) sources operating at 2. 45MHz there are potential price versus performance advantages to be obtained from working in the more conventional 13. 56 MHz frequency regime with novel antenna structures. Desirable features such as high rate uniform etching with low bias capability are retained while others such as reactant use and field efficiency are improved. Progress towards a practical realization of such a reactor will be reported and results obtained in a test stand operation will be presented for a variety of materials and conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper points out that the formation of photolithographic hologram (PLH) is a transfer process of two steps described below. Its modulation transfer function (MTF) is the product of the two steps. The former belongs to the traditional photosensitive holographic recording material. The latter belongs to the plasma etch transfer process which can be controlled while PLH is foiming. Therefore the modulation of the second step may be magnified. Furthermore we point out that this special performance of PLH would lead us to find a new way for embossed holography and the fabrication of HOEs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SPEEDIE is a new physically based profile simulator for dry etching and LPCVD. It calculates angular and energy distributions of ions and fast neutrals using a Monte Carlo (MC) simulator for ion sheath transport. Fluxes at each point on the profile can be calculated using either MC or analytical methods which consider 3-D transport by molecular flow surface diffusion and adsorption/re-emission. Etch rates are determined using a choice of etch models while LPCVD uses a sticking coefficient model. A modified string algorithm which allows simultaneous etching and deposition is used to move the surface. Examples which match experiments are given for sloped oxide etching and oxide filling of trenches and vias.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Patterning of highaspect ratio contact holes is required for 0. 5/ira technologies. High selectivity and anisotropic wall profiles are necessary for a successful process since large percentage overetches are required for planarized dielectric layers. This paper will discuss work in patterning high aspect ratio contact hole patterns using a Drytek 384T TRIODE etching system. The etching of O. 6/nn by l/nn and O. 6jnn by 2/sm contact hole structures has been investigated. Discussion of process variables which control anisotropic wall profiles will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The aggressive drive to reduce device geometries to submicron levels while utilizing ULSI design capabilities has posed many challenges to traditional semiconductor process technology. Among the most critical is the ability to maintain satisfactory contact between two levels of metal through contact holes significantly smaller than the actual design rule itself. Traditional technologies for greater than one micron design rules are not capable of maintaining the consistent output necessary to achieve and maintain Six Sigma process control. Isotropic plasma etching of doped and undoped oxides has been studied as an alternative to the " wet" isotropic etch in the traditional " wet-dry" contact hole etch process scheme. The isotropic etch was characterized using Taguchi and Response Surface Methodology (RSM) multilevel statistical experimental designs. Optimized conditions resulted in process capability of greater than 2. 0 Cpk control measured in reference to critical dimension performance and electrical data analysis.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to reactive ion etch in a commercially available hex system a feature in silicon dioxide leaving a vertical sidewall profile with no change in the resultant linewidth relative to the photoresist mask it was found necessary to utilize a bi-level masking structure with polysilicon acting as the non-erodable hard mask. This hard mask prevents tapering and linewidth changes due to lateral photoresist erosion during the oxide etch. In addition the etch had to be performed under conditions producing very little fluorocarbon polymer deposition to prevent lateral mask growth during the etch and at very low pressure (5 mTorr) to reduce off-angle ion scatter-induced etching due to collisions in the plasma sheath. In a non-polymer depositing mode the lack of sidewall passivation was found to promote lateral etching of the oxide at pressures which allow this scattering to occur. The same phenomena were found to be present when etching non-photomasked oxide sidewall spacers. Etching spacers in a very non-polymerizing chemistry at normal operating pressures (50 mTorr) caused the spacers to significantly erode laterally. Use of a low pressure non-polymerizing chemistry gave faithful reproduction of the spacer width.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we discuss some properties of a novel dry etching system for high resolution transfer of e-beam generated pattern for a viable submicron lithography. In order to achieve pattern transfer by an e-beam lithography a tn-layer system has been used. The submicron pattern which has been generated in a 300 nm PBS-layer (imaging layer) was transfered into a 300 nm anorganic intermediate layer (Si3N4) by RIE with a CHF3-plasma. The underlayer of 1 micron polyimid was etched in a microwave downstream RF-biased etching system developed by Plasma Technology Ltd (UK). E-beam lithography generated structures of 75 nm size with very low image size bias were etched in Si3N4. After patterning in the polyimid layer structures with very high aspect ratio (10) could be achieved. It was observed that anisotropy is enhanced by crack-products that originate from the microwave downstream oxygen plasma and the CHF3+CH4 mixture in the space between the parallel-plate electrodes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the use of physical diagnostics of plasma and etch reactor to provide a predictive capability for a particular dry etching process namely the reactive ion etching of organic polymers in an oxygen plasma. In particular the shape (isotropy) of etched features has been studied as a function of the potential DCB between the wafer and the plasma. The flux of oxygen atoms to the wafer has been estimated by measuring the rate of oxidation of a pure silver film deposited onto a quartz crystal microbalance. A discoid electrostatic probe has been used to determine the flux of energetic oxygen molecular ions. The energy (E) and angular (cr) distribution of energetic species N(a at the wafer surface has been obtained from a Monte Carlo simulation of the transport of ions across the plasma sheath. The measured magnitudes of the fluxes of oxygen atoms and energetic particles together with N(a have been incorporated into a simple model to simulate the etching process. Predicted etch rates and profiles are compared with experimental results of etching multilevel resist structures under corresponding conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Dry developable resist systems based on silylation have been presented as an alternative solution for submicron and deep submicron lithography. The DESIRE process (Diffusion Enhanced Silylated Resist) is the most well-known example of such a process. In this paper the dry development step using reactive ion etching has been investigated using a TEGAL MCR (Magnetically Confined Reactor). The TEGAL MCR system is a versatile tool which provide two major improvements over the classical RIE: the magnetical confinement of the plasma and the triode RF coupling system. In this paper the influence of the pressure the bias power and the oxygne flow rate on the etch rate and the shape of the photoresist pattern was inverstigated. The etch rate of resist and associated uniformity depend on the plasma etching parameters. The exposure dose is however the major parameter determining the critical dimension (CD). I .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Polysilicon etching is a critical process for VLSI. Recently1, HBr appeared to be the best choice for a good selectivity versus oxide and a good profile control. In this paper, we investigated a HBr-Cl2 chemistry in a classical RIE system at pressure below 15 Pa. The etching was performed with either resist or oxide masks. Results were optimized through statistical experimental designs. The influence of the different process parameters: power, pressure and gas flows were detailed. Etching of 100nm patterns, stopping within 70A thin gate oxide (even with a long overetch time) was achieved using the developped process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a manufacturable submicron CMOS polysilicon gate process. A new hydrogen bromide (HBr) plasma chemistry for etching and contrast enhancement material (CEM) for optical lithography have been applied. The HBr chemistry has achieved a selectivity of better than 30: 1 to gate oxide with a vertical side wall profile and no measurable undercutting even with POC13 doped poiy. Because of the low etch rate of photoresist and the CEM process the size change during etch (pre vs. post ) is estimated to be less than O. 05p. per side. In addition the multilayer contrast enhanced photoresist process has been optimized using statistically designed experiments to achieve maximum critical dimension (CD) control resolution and depth of focus. Electrical line width studies show that the total proximity effect due to lithography and etch is about O. O4t. Statistical process control of O. 8O. i. O. 15p. (3) has been achieved and demonstrated on Honeywell''s O. 8p. Radiation Hardened CMOS technology
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the role of chemistry in high anisotropic Si-trench etching. Deep trenches with submicron size have been fabricated using chlorine and bromine with proprietary additive and chlorine or bromine carrier gases. This technique is demonstrated by producing thin Si-membranes for micromechanical and demagnifying ion or electron projection masks. Experiments were done in a commercially available laboratory RIE-reactor for Cl and Br chemistry. The effect of gas pressure which significantly determines the ion mean free path on the angular distribution of ions reaching the substrate is discussed for both chemistries. The aspects of Si-etching initialization and micro contaminations and the use of previous scavenger etch steps are considered. The etching rates and selectivities of Si02 and Si in both chemistries are compared. The mechanisms of the deposition effect on the sidewalls are discussed. Advantages and disadvantages of both chemistries are presented summarly.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The further development in semiconductor technology toward smaller dimensions and higher densities calls for low-energy high-power plasma sources in etching and deposition as well. An ECR plasma source developed by Leybold (LH) with an effective diameter of 9" is described. The radially symmetric construction of the source as well as the chosen microwave input allows wafers with diameter up to 200 mm to be processed with high uniformity. Special empasise has been given to minimum particle and damage generation. Several etch processes are presented to demonstrate the application potential of the source.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Submicron trench etching of single crystal silicon was studied in a single wafer magnetically enhanced reactive ion etching system. Trenches were etched with both HBr/SiF4/NF3/Iie/02 and HBr/SiF4 etch chemistries. A detailed comparison between the two etch chemistries revealed that acceptable trench profiles could be achieved with either chemistry. The HBr/SiF4/NF3IHeIO2 chemistry was found to be much more selective to the trench hard mask. However it also exhibited a higher density of " black silicon" in large trench areas. Trench profile silicon etch rate and hard mask selectivity were studied as a function of both magnetic field strength and wafer cooling with cooling producing the greater effect. Silicon loading was also found to affect the trench profiles that were obtained with either chemistry. Both of the etch processes exhibited a dependence of silicon etch rate on trench feature size. This dependence was found to become more pronounced as the trench depth increased. Analysis of transmission electron micrograph (TEM) data as well as preliminary electrical results indicate that very little crystal damage occurs with either etch chemistry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Four micron deep trenches are etched in a non-loadlock diode reactor using a gas mixture of 5F6/C12/He. It is an I. B. M. designed batch system. The tool is a typical planar design reactor with an aluminum upper anode and a copper lower cathode. The cathode is covered by a quartz pallet upon which the wafers are placed. It was advantageous to use a copper electrode because it was water cooled adsorbed moisture necessary in the passivation of the trench wall and minimized the deposition of residuals on the upper electrode. The use of copper as the cathode material however proved to have many disadvantages when coupled with the corrosive Cl2 gas chemistry. The corrosive nature of the Cl2 chemistry caused rapid degradation (corrosion) of the copper cathode resulting in frequent cathode changes. This corrosion was also responsible for a narrow and consistently changing process window. As the corrosion increased the ability to retain more moisture increased. The change in the amount of moisture retained would result in a shifting process window and a degradation in process control over time. This in turn made the process sensitive to the amount of silicon in the plasma that was available to recombine and form the SiOx necessary for passivation. The result would inevitably be " black silicon" (silicon spikes formed by micromasking). 2 . EXPERIMENTAL Alternatives to the copper electrode were investigated. A
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The etching of tungsten can be achieved using chlorine or fluorine chemistries. In both cases the selectivity between tungsten and photoresist mask is very low and leads to a slopped etching with a poor C. D. control. So we developped a new process using an intermediate inorganic mask with a chlorine chemistry. The optimisation was carried out using an ECHIP experimental design. In the best conditions a CD control better than O. 1 was achieved with a smoothed tungsten layer 1 thick.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Studies of SOG/oxide planarization etch back processes have shown that micro loading effects play a major role in shifting selectivity of the etch at the SOG/oxide interface thereby causing the wafer to lose its asspun level of pianarization. This paper describes recent work performed to improve an SOG/PSG etchback planarizatiori process used in production on 1. O/m geome tries. The etchback planarization process is run in a Drytek Model 616 etch system using a triode chamber. In the study the effect of CHF3 C2F6 SF6 and CF4 gas chemistries on etch planarization are examined. Results of these experiments and how they compare to the original production etchback planarization process are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new etch technique which oscillates between sputter etching and RIE modes of etching was investigated. Extensive studies for InP using BCl/Ar and Cl/BCl/Ar gas systems were performed with standard RIE equipment. The etching sequence was performed with a programmable controller which automates the cycling sequence. The time period and sputter duty cycle or percent sputter time are two important factors that were studied in these experiments. Using this cyclic technique an etch rate of 300 A/mm was obtained which is an order of magnitude higher than our standard RIE etch rate for InP. Standard optical photoresists can be used as masks for this technique although metal masks are more feasible. The resulting wall shape is vertical with smooth morphology. Etch enhancements may be explained by the removal of an indium chloride layer. Surface analysis was performed to verify that chlorine is forming on the surface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An intensified business environment with acce''erated pace of technoLogy development within the semiconductor industry can lead companies to consider emerging techniques in cluster tooling and Computer Integrated Manufacturing (CIM) systems applications. A logical model of interfaces that exist within a corporate manufacturing environment yields a control hierarchy that is present from the tool up through the corporate computing entity. With these various levels of computer control there is a clearly identified need for consistent information management functions throughout this logical hierarchy. One of the complexities of existing CIM systems is the lack of a coherent data model that transcends all levels of the hierarchy. The creation of coherent information (derived from data collection) requires this consistent management of data and the cluster tool or any other semiconductor manufacturing equipment for that matter becomes a vital link in the information chain. In fact the equipment level of the control hierarchy is the majority source of data required to successfully meet the manufacturing and business needs of the corporation. An example will be developed in this paper of using a cluster tool as a highly integrated mini-fab environment to demonstrate the desirable CIM system concepts. This mini-fab characteristic of cluster tools and other highly integrated manufacturing cell configurations will be used to investigate the CIM system impacts on this class of manufacturing capability. The investigation will look at the host-to-equipment relationship in a
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A cluster tool is a single integrated processing tool which incorporates two or more process modules. Further, in an open cluster tool, the process modules may not all be supplied by the same vendor. This article is aimed at equipment vendors/suppliers faced with revising their control systems to meet the requirements for communications in an open cluster tool. Standards for these communications are being developed by the SEMI's MESC committee [1] (see Table 1 for a full list of acronyms used in this article). One goal of the cluster tool movement is to allow the equipment vendor to focus on their process. MESC (formerly MESA) has developed mechanical and utilities standards that are fairly well developed and reasonably simple. The proposed communications standards, on the other hand, seem neither complete nor simple. So what's going on! The fact is that the problem is complex. The cluster tool is itself a mini factory. The problem therefore is analogous to General Motor's MAP which defines communications and control required by an entire factory. The guiding principle has been to reference existing standards, rather than invent new ones, to allow equipment vendors to purchase off-the-shelf hardware and software for faster development, higher performance and better reliability. That is easy to say but equipment suppliers are still faced with supplying a control system that is compatible with open architecture cluster tools. Few have the luxury to scrap what they have and start afresh. Worse yet, cluster tool compatibility is, as yet, not well defined or understood. This article is intended to provide information to ease the burden on the equipment supplier in the transition toward full open architecture cluster tools. First of all the status of the current standards will be reviewed. This is followed by what you might look for in the way of off-the-shelf control and networking software. Finally the thorny issue of "how do you get there from here?" will be addressed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EfForts olthc IBM General I'echno1ogy 1)ivision in Essex Junction, Vermont to automate the investigation of dry etching processes employed in the manufacture of semiconductor memory chips are described. This paper overviews the technical case for the project, then proceeds to qualitatively detail the control strategy and installation ground rules, as well as the project's data processing and signal conditioning equipment, tool and process instrumentation, and driving software. The architecture and performance of the resulting system are then discussed. Further, the technical conclusions and implications of this system's success are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Low cost microcomputers are an attractive approach for monitoring and control of future semiconductor processing equipment. A wide variety of low-cost off-the-shelfl/O boards are available for use with industry standard PCs. Such an approach is being implemented in a three-module singlewafer cluster-tool approach for forming a gate stack of oxide nitride and polysilicon. This work discusses hardware and software approaches for such a cluster tool and discusses some of the advantages of in-situ real-time process monitoring and control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Initial results on the application of adaptive network concepts to the problem of dry etching control are given in this paper. The role of off-line experimentation and analysis in defining a suitable parametric model for a dry etching process is first described. Alternative methods of parameter estimation are compared. An embryonic on-line regulator is then outlined. This has been used to control a parameter of interest namely the bias at the wafered electrode DCBW of a reactive ion etching (RIE) station. Successful tracking of a randomized trajectory for DCBW is demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Process control strategies have been developed for reactive ion etching of silicon and silicon dioxide in CFJO2 and CF/H2 plasmas. Four measured variables four manipulated variables and six performance variables were considered for both chemistries. Relative gain array analysis and singular value decomposition were used to select manipulated/process variable control loop pairings for feedback control and to evaluate potential difficulties in control system performance. Block relative gain analysis of multivariable interactions in the process indicated that single loop feedback control would be inadequate for control of both CF/H2 and CFJO2 etching which was subsequently verified by simulation. Multivariable control (partial decoupling) was much more effective in reducing dynamic fluctuations in the process variables. Closed loop simulations have demonstrated a quality of control sufficient for manufacturing purposes. Singular value decomposition was also used to determine which process variable (measured in real-time) correlated best with a given performance variable. Empirical input-output models developed by response surface methodology were used for the above analyses. For the CF/H2 system functions based on semi-theoretical reasoning of process variables were also investigated. For example the function of [F](DC Bias)25/[CF2] was found to be more indicative of process performance than the absolute values of these process variables. Unfortunately this work reveals the need for more real-time measurable process variables in order to achieve sufficient process performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To meet the ever-increasing demand of the rapidly-growing semiconductor manufacturing industry it is critical to have a comprehensive methodology integrating techniques for process optimization real-time monitoring and adaptive process control. To this end we have accomplished an integrated knowledge-based approach combining latest expert system technology machine learning method and traditional statistical process control (SPC) techniques. This knowledge-based approach is advantageous in that it makes it possible for the task of process optimization and adaptive control to be performed consistently and predictably. Furthermore this approach can be used to construct high-level and qualitative description of processes and thus make the process behavior easy to monitor predict and control. Two software packages RIST (Rule Induction and Statistical Testing) and KARSM (Knowledge Acquisition from Response Surface Methodology) have been developed and incorporated with two commercially available packages G2 (real-time expert system) and ULTRAMAX (a tool for sequential process optimization).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Increased concerns for improved fluid flowrate measurement are driving the fluid metering community-meter manufacturers and users alike-to search for better verification and documentation for their fluid measurements. These concerns affect both our domestic and international market places they permeate our technologies - aerospace chemical processes automotive bioengineering etc. They involve public health and safety and they impact our national defense. These concerns are based upon the rising value of fluid resources and products and the importance of critical material accountability. These values directly impact the accuracy needs of fluid buyers and sellers in custody transfers. These concerns impact the designers and operators of chemical process systems where control and productivity optimization depend critically upon measurement precision. Public health and safety depend upon the quality of numerous pollutant measurements - both liquid and gaseous. The performance testing of engines - both automotive and aircraft are critically based upon accurate fuel measurements - both liquid and oxidizer streams. Fluid flowrate measurements are established differently from counterparts in length and mass measurement systems because these have the benefits of " identity" standards. For rate measurement systems the metrology is based upon " derived standards" . These use facilities and transfer standards which are designed built characterized and used to constitute basic measurement capabilities and quantify performance - accuracy and precision. Because " identity standards" do not exist for flow measurements facsimiles or equivalents must
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of the thermal transport mass flow controller (MFC) is currently the method of choice for gas delivery in semiconductor processes. Many environmental and installation factors influence the operational behavior of these MFCs and may cause a significant deviation from their specified performance. The impact of several of these factors will be discussed. Some of the issues to be addressed include environmental temperature upstream pressure and regulation mixing manifolds and tubulation (gas system geometry). Flow parameters tested include average flow rate vs setpoint flow control time and maximum flow rate (switch-on transients). In addition qualitative observations concerning real time flow behavior will be made. Measurement techniques and other issues affecting gas delivery will also be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Today''s semiconductor processing equipment demands accurate and repeatable controls to obtain improved yields of increasingly complex chemistries and smaller geometries. Electrical control of RF induced plasmas has sadly lacked the precision of modern gas flow pressure and chemistry control and hence is a major limiting factor to process repeatability and diagnostics. Present technology which is decades old maintains a constant indicated forward power at the RF source regardless of mismatch reflections transmission line losses non-repeatable impedance matching losses reactor feed losses and RF envelope modulation due to plasma load non-linearities interacting with power source instabilities. Process diagnostics is often reduced to a guessing game once gas flow and pressure controls are checked against each other. Comdel Inc. has produced a new product to remove some of the ''black art'' from RE control and analysis. The RPM-l (Real Power Monitor) is intended to become center of the RE delivery system. Consisting of a sensor unit and a processor unit the RPM-i controls the RE power source based upon real power RF voltage RF current or DC bias at the point where the sensor unit is installed regardless of the load impedance. The user interface to control and read these RE electrical parameters is an ASCII terminal or host computer via an RS- 232 serial port on the processor unit. The RPM-l also calculates and displays on the terminal the plasma load impedance
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Acoustic pulses are generated at one end of acoustic waveguide tubes and detected at the other ends and the times required for the acoustic waves to traverse the gases contained in the tubes is measured. Several such waveguide tubes connected in series form an acoustic analyzer train. Individual components of a gas mixture are flowed serially into and through the analyzer train with one component added between each pair of individual acoustic analyzers. Thus the contents of each tube (except the first) is a binary mixture with one component being the contents of the previous tube and the other component being the gas which is added between the two adjacent tubes or at each stage of the blending process. TimeOf--Flight measurements are obtained at a frequency of about 100 Hz. with a technique which renders them independent of amplitude or gain factors. They are examined by several digital data quality assurance routines then converted to engineering units by a method called " ratiometric comparative sensing" . The results are substantially linear with respect to molecular fraction and free of all systematic error. Mechanically instrumentation has been developed which is compact and has an internal surface of welded stainless steel with no elastomeric seals of compression fittings. Internal surface to volume ratio is low compared to that of a thermal mass flow sensing unit. Transducer crystals (external) allow operation up to their
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Measurements performed on the NIST GEC Reference Cell are described. The Reference Cell concept grew out of a workshop held at the 1988 Gaseous Electronics Conference (GEC) (October 18-22 1988 Minneapolis MN). The design was refined by the GEC community reviewed at a workshop hosted by SEMATECH1 (March 9 1989) and the final design was engineered at Sandia National Laboratory. The purpose of the discharge cell is to provide an affordable experimental platform for researchers that is physically identical from laboratory to laboratory so that reference data can be generated and various experimental techniques and models can be cross correlated. Four laboratories (Sandia Bell Labs WrightPatterson Air Force Base and NIST) agreed to conduct identical initial measurements on four cells manufactured at the same time. This would ensure the greatest possible uniformity and allow direct comparison of results. The experimental conditions for the present measurements are those specified for intercomparison and include 1" interelectrode spacing grounded lower electrode capacitively coupled RF power cooled electrodes (20 C) electrode ground shields and 99. 999 argon. The specific measurements to be made were: 1) the waveforms of the fundamental through the fifth harmonic of the RF voltage and current including their magnitude and phase 2) the gas flow rate and pressure and 3) the DC self-bias voltage.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermally activated processes normally depend exponentially on temperature so that accurate temperature measurements are essential for good process control. Many wafer temperature measurements are inadequate for reliable control of the manufacturing processes. With radiation thermometry for example the emittance changes during film growth can cause measurement errors of 100 to 200 C. Yet many processes are obviously running very well. How can we resolve this apparent conflict? Many temperature measurement methods thermocouples radiation thermometers etc. can be quite precise or reproducible but still grossly inaccurate. To take advantage of the repeatability and get around the inaccuracy of these sensors processes are " tuned" by running test wafers until suitable conditions are found. Each new tool and recipe must be tuned. This distinctly limits the ability of semiconductor manufacturers to transfer a process from one location to another or even one tool to another. A survey of the various processes in the SEMATECH fab was made to determine typical temperature measurement requirements. One result of the survey showed that the processes in semiconductor manufacturing cover a wide range of temperatures from -150 C to 1250 C. Other results of the survey will be presented methods now in use for making process temperature measurements will be described and potential new temperature measurement technologies will be described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical ernissn)n spectroscopy (OES) is an established laboratory diagnostic technique for plasma processes. By detecting light from the electronic transitions of atoms and molecules it is possible to identify and monitor the chemical species in a plasma. This technique has been extended to semiconductor manufacturing to determine the endpoint of plasma processes. The production of semiconductor devices relies heavily on plasma etching and deposition processes. Because OES is a fairly simple technique its use as a continuous tool and process hionitor has been investigated. Ultimately this technique could provide immediate feedback for automatic adjustment of individual process parameters. This embodiment has been referred to as adaptive process control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper is intended to provide an overview of Langmuir probe diagnostics for process plasmas. The discussion will focus on plane and cylindrical single current collecting probes. Methods will be presented for the determination of the plasma potential floating potential electron and ion densities electron temperature and electron energy distribution function. Common problems and pitfalls will also be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Selective silicon oxide etching plasmas typically use fluorocarbon feedgases under polymerizing conditions to provide etch selectivity and anisotropy. This work reports in—situ measurements of radical and particle distributions in the CF4-CHF3 plasma, one of the commonly used feedgas mixtures for selective oxide etching relative to silicon. Laser-induced—fluorescence (LIF) is used to monitor trends in the concentration of CF radicals in the ground electronic and vibrational state. Mie scattering observed in the perpendicular direction gives information about particles in the plasma. The effects of addition of Ar diluent on radical concentrations and etch rates are also reported. The dependence of plasma particle contamination on the proportion of CHF3 in the feedgas mix and its correlation with gas phase radical concentration is measured. It is also shown that an interrupted plasma discharge results in a significant reduction in the gas phase particle formation as compared to a continuous discharge.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The electron density of a plasma is an important parameter because it governs the rate of production of reactive species and ions. Overall reaction rates in deposition and etching processes depend on the electron density. Microwave interferometry is a rapid and relatively nonperturbing diagnostic method for the measurement of a line-averaged electron density. The practical aspects of microwave interferometry are examined here. Bench top studies of the simulated plasma environment are described addressing the questions of electrode multipassing wall reflection and plasma gradients. Finally actual electron density data taken in a 13. 56 MHz planar -diode reactor for Ar SF6 and Ar + 02 plasma are presented. It was determined that the plasma densities fall in the range of 10 8to 10 cm3 with the Ar plasma being at least an order of magnitude denser than SF6 for the same pressures and powers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Real-time multi-channel Optical Emission Spectroscopy was used to record then analyze a color change in an argon plasma. It is shown to be an effective diagnostic tool with value as an on-line monitor where it can be used to call process endpoint while simultaneously testing for undesirable conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A commercially available fast injection Langmuir probe system (FILP) has been mounted on a plasma etching tool which is widely used in the semiconductor industry. The FILP has been used to obtain information on fundamental plasma parameters such as density and plasma potential as a function of machine parameters such as pressure, gas composition and power. A study has also been made of the correlation of ion density and silicon dioxide etch rate in a CF4 plasma.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A high resolution Fabry-Perot interferoineter has been used to study the translational dynandcs of ions in a divergent field ECR reactor. The degree of radial ion motion in the plasn'ia was characterized through measurements of the Doppler profiles of Ar and Cl emission lines at various operating pressures, optical orientations and itagnetic field configurations. Average translational energies for both Ar and Cl ions increase from 1.0eV to 2.5eV as the pressure is decreased from 2.OmTorr to 0.lmTorr. In addition, the application of a collimating magnetic field in the substrate region has a significant effect on the ion emission linewidth. A small Doppler shift is observed for emission collected along the axis of the reactor providing evidence for directed ion motion out of the source region of the plasma. These observations, coupled with Langmuir probe studies of the ion density and plasma potential suggest that radial electric field variations in the plasma contribute significantly to lateral ion motion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A review of the progress in the selection and development of appropriate process control sensors for single wafer processor automation will be presented. Categories of sensors will be defmed and their use in process control described. Issues surrounding the development of key sensors will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports the theoretical and experimental sensitivity of Fourier imaging (Fl) and the Fourier imaging system (FIS)'' respectively. The theory based on scalar diffraction which specifies these sensitivities is presented and discussed. Specifically the theoretical sensitivity of the Fl technique at a wavelength of 633 nm is determined to be 0. 003 nm while the experimental sensitivity of the FIS is approximately 15 nm. Both of these figures utilize a one-dimensional 1-pm rectangular diffraction structure for their calculation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Accurate measurement in-situ and in real-time of film thickness during Reactive Ion Etching (THE) can lead to new levels of process control. The two techniques described are used to stop an etch close to an interface less than 5Onm and have an accuracy of 3nm and 8nm respectively. The long term goal etch tailoring depends on pin-pointing in real-time the film remaining to be etched. With etch tailoring process parameters can be changed to improve product quality.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will discuss the performance ofequipment which monitors and so controls photoresist thickness and uniformity during plasma ashing without interfering with the process. Practical monitoring of a subtractive process of this type is significantly more complex than monitoring deposition processes. An initial absolute thickness measurement is needed. In addition the device must view the layer through a luminous medium and cannot rely on simple optical interference fringe counting. The equipment is self-calibrating and sensitive to layers dnm thick. An application to partial plasma resist ashing in high uniformity equipment will be described. Application to other films (e. g. oxide) will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Process monitoring and control of semiconductor fabrication parameters like film thickness are important issues limited at the present time by a lack of adequate sensors. In this paper we outline the limitations of current film thickness technology and propose two new methods for nondestructive insitu film thickness process monitoring: acoustic time domain reflectometry (TDR) and acoustic reflection coefficient phase measurements. Theoretical calculations and experimental measurements of different metal films are used to demonstrate the viability of these novel techniques.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Multichamber and In-Situ Processing of Electronic Materials
This paper addresses issues related to multichamber and in-situ processing of heterostructure electronic devices. We discuss the design and operation of two UHV-compatible multichamber systems one designed for one-inch wafers and a second for three-each wafers. Each of these provides: i) substrate introduction via a load-lock chamber ii) surface preparation by a plasma-assisted surface cleaning process iii) thin film deposition of semiconductors and dielectrics by remote plasma enhanced chemical vapor deposition (remote PECVD) iv) surface analysis by Auger electron spectroscopy (AES) and either reflection high energy or low energy electron diffraction (RHEED or LEED) and v) inter-chamber substrate transfer in a UHV compatible environment (1O8 Torr). These systems have been designed exploit the low temperature ( deposition of electronic-quality thin film dielectrics and semiconductors produced by the remote PECVD process. The combination of remote PECVD film deposition coupled with in-vacuo substrate processing has defined processing windows for the formation of heterojunction devices including: i) gate stacks for crystalline silicon c-Si field effect transistors FET''s ii) amorphous silicon a-Si thin film transistors TFT''s and simple TFT circuits and iii) amorphous and microcrystalline sic-Si silicon p-i-n photovoltaic devices. The effectiveness of single-wafer processing is measured by the ability to produce and to maintain electronic-quality interfaces between the various dielectric and semiconductor films that are utilized in these device structures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A multistep reactive ion etching (RIE) process sequence has been developed for fabrication of optoelectronic devices in 111-V semiconductor materials. This process was developed in a multichamber RIlE system that has been adapted to use a different etch chemistry in each of the four chambers as well as robotic handling of small pieces of ITT-V materials. This system has been used to fabricate ridge waveguide lasers based on the TnPJTnGaAsP material system. The etch sequence consists of the following steps: SiNX patterning in an SF6 plasma photoresist removal in an plasma ridge formation in the InP and InGaAsP epitaxial layers using a CH4/H2/Ar plasma and polymer removal using an 0 2 plasma. Laser interferometry and emission spectroscopy techniques were used to establish endpoints for many of these process steps. Laser arrays with threshold currents as low as 22 mA have been routinely fabricated using this process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A vacuum lithographic process for InP-based semiconductors has been developed. It employs a focused Ga ion beam to write patterns on an ultrathin native oxide resist thermally grown on the surface of InP. The pattern is transferred into the substrate by Cl2 etching. Depending on its thickness and composition the oxide is removed by either thermal desorption or by low energy Ar ion bombardment. This lithographic process is compatible with molecular beam epitaxy and has been used to create high quality GaInAsfInP heterostructures on patterned InP substrates. The details of this process are reported with an emphasis on the formation and removal of the oxide resist. Its composition and thickness as a function of oxidation temperature are examined using Auger analysis and a novel in-situ measurement technique respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present (report) a new type of titanium suicide self-aligned synthesis based upon excimer laser irradiation. This new process relaxes the requirements for ambient atmosphere control and suppresses the unwanted lateral growth of the silicide.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermal treatments a key process for IC technologies face large difficulties in case of germanium due to the poor chemical stability of germanium oxides i. e. GeO and Ge02. Laser processing allows for a drastically reduction of the interaction time highly suitable for Ge processing. We report two applications: i) the laser synthesis of thin and stable germanium oxynitrides films and ii) the laser doping of germanium with boron to the view of obtaining very shallow junctions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Robotic Cluster Tool was developed for robotic handling and processing of seraiconductor wafers. The processing chanbers are clustered about a central robot which serves the load lock three independent processing chambers and four inspection chautbers joined to the main chamber. The system is under centralized computer control which means the niotion of the robot and associated thin filra processes are all controlled by a conurton computer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reducing and controlling defect densities is critical to the successful manufacture of semiconductor devices. Automated wafer inspection tools detecting smaller defects and providing more consistent results L2than previous techniques are being used more and more in this effort. In order to use these tools effectively a structured approach must be taken. In addition understanding the performance ofthe automated inspection tool is key to correctly interpreting the information it provides. This paper will describe a defect reduction methodology employing an automated wafer inspection tool which has been successfully applied by several semiconductor manufacturers. The critical aspects of applying such a program are discussed in a case study format. The second portion of this paper presents possible barriers to a succesful defect reduction program. The organizational elements of a successful program are discussed. A methodology for evaluating the performance of an automated wafer inspection tool is outlined. The design of a test vehicle useful for tool characterization is described. Parameters which have been shown to have significant impact on the performance of wafer inspection tools are identified. Data illustrating the methodology is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fourier transform infrared spectrophotometry (FTIR) is a valuable technique for monitoring thin films used in semiconductor device manufacture. Determinations of the constituent contents in borophosphosilicate (BPSG) phosphosilicate (PSG) silicon oxynitride (SiON:H and spin-on-glass (SOG) thin films are a few applications. Due to the nature of the technique FTIR instrumentation is one of the most extensively computer-dependent pieces of equipment that is likely to be found in a microelectronics plant. In the role of fab monitor or reactor characterization tool FTIR instruments can rapidly generate large amounts of data. Also the drive for greater accuracy and tighter precision is leading to the development of increasingly sophisticated data processing software that tax the computing abilities of most instrument local data stations. By linking a local FTIR data station to a remote minicomputer its capabilities are greatly improved. We discuss three classes of enhancement. First the FTIR in the fab area communicates and interacts in real time with the minicomputer: transferring data segments to it instructing it to perform sophisticated processing and returning the results to the operator in the fab. Characterizations of PSG thin films by this approach are discussed. Second the spectra of large numbers of samples are processed locally. The large database is then transmitted to the minicomputer for study by statistical/graphics software. Results of CVD-reactor spatial profiling experiments for plasma SiON are presented. Third processing of calibration spectra is performed
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we describe an in-line supervisory control system that uses statistical criteria in conjunction with feedback and feed-forward control in order to improve the capability of a photolithographic workcell. The three major components of the system namely process monitoring modeling and control were developed together and thus are perfectly compatible. The issue of monitoring is addressed by the development of metrology suitable for the economical in-line measurement of photoresist thickness and reflectance. Statistically designed experiments are used to develop equipment models that relate the process settings to in-line measurable responses. Finally the statistical process control concepts of the regression chart and acceptance chart are used as the basis of the criteria that initiate process control actions. A prototype of this system has been applied on the photolithographic sequence in the Berkeley microfabrication laboratory.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thirty units of tin plated Dual In-line Packages were used to evaluate the measurement system for tin thickness using the X-ray Fluorescence technique. The results showed that the system is sensitive to inspector technique and the total measurement error estimate was about 22 microinches. This system for obtaining tin thickness measurements had been recommended only when the variability of the process is at least 80 microinches. Otherwise a more sensitive method must be used.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The advent of automated defect inspection equipment has dramatically increased the quality and volume of visual defect data. The availability of this new information on a routine basis affords the opportunity to enhance the process quality improvement strategies currently in use however the volume of defect data and the variability of defect density makes use of this information difficult. In this flood of data the critical yield limiting defects are often difficult to discern. Process quality trouble shooting and control are difficult without proper analysis of defect data. A clear understanding of defect inspection technologies and defect data analysis requirements is essential to successful yield and reliability improvement. This paper examines the industry trends in the evolution and detection of defects on production wafers within a production facility. A model of average wafer defect density for each major device generation is presented. Implications to inspection technology and data analysis are discussed. Finally the requirements for detection and analysis of defects for current and future device technologies are discussed. BACKGROUND Wafer inspection for defects has evolved from manual visual and bright light inspections to fully automated inspection systems detecting sub-micron defects. Detection rates have increased from manual rates of a few centimeters per hour at variable capture rates on large defects to high speed detection of sub-micron defects with high stable capture rates. During this time wafer and chip sizes have increased
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A goal of our Engineering Research Center for Plasma Aided Manufacturing is to develop a feedback system to control a plasma etcher. Our system etches 1 micron thermally grown SiO2 layers using gas mixtures of CFJCHF/Og. Thin-film interferometry is conventionally used to measure an average etch rate each interlerometric fringe signals a change in film depth of X/2n. Our method determines the instantaneous etch rate using data from the interlerometer. The instantaneous etch rate will be used as feedback in a scheme to control the etch process by regulating the power and gas flow into the etcher. Results will be presented showing interferometric traces and analysis of the data The status of the feedback effort will also be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The possibility of phase objects superresoluton imaging is theoretically justifieth The measurements with CPM " AIRYSCAN" showed the reality of O structures observations when the Airy disc di ameter i s 0 86 j. . m SUMMARY It has been known that the amount of information contained in the image of any object is mostly determined by the number of points measured i ndependentl y or by spati al resol uti on of the system. From the classic theory of the optical systems it follows that for noncoherent sources the -spatial resolution is limited by the aperture dd 6LX/N. A. ( Rayleigh criterion where X is wave length NA numerical aperture. ) The use of this criterion is equivalent tO the statement that any object inside the Airy disc of radius d that is the difraction image of a point is practical ly unresolved. However at the coherent illumination the intensity distribution in the image plane depends also upon the phase iq (r) of the wave scattered by the object and this is the basis of the Zernike method of phasecontrast microscopy differential interference contrast (DIC) and computer phase microscopy ( CPM ). In theoretical foundation of these methods there was no doubt in the correctness of Rayleigh criterion since the phase information is derived out of intensity distribution and as we know there were no experiments that disproved this
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Multichamber and In-Situ Processing of Electronic Materials
Pattern etching of GaAs by in situ electron-beam (EB) lithography using an oxidized thin ayer is performed in a multichamber system comprising seven chambers for loading sample exchange sample pre-heating molecular beam epitaxy (MBE) surface treatment etching and surface analysis. The in situ EB lithography process comprises the following steps using the multichamber system: (1 ) preparation of a clean GaAs surface by MBE (2) formation of GaAs oxide as a resist film by photo-oxidation in pure oxygen gas (3) direct patterning of the oxide resist film by EB-induced Cl2 etching (4) 012 gas etching of the GaAs surface as a pattern transfer and (5) removal of residual GaAs oxide layer by heating the wafer above about 600 00 in a vacuum under a partial pressure of arsenic. Overgrowth of GaAs and/or AIGaAs layers is also possible on a patterned GaAs wafer without exposing the surface to air. Damage to the patterned area was evaluated through photoluminescnece measurements and compared with the case of conventional dry etching of GaAs. The results showed that damage was extremely small for EB-induced Cl2 etching. The reason is considered to be due to a difference in momentum transfer from charged particles to the lattice. Selective-area epitaxy using this GaAs oxide layer as a mask film was carried out by combination of EB-induced Cl2 etching and metal organic molecular beam epitaxy (MOMBE) using a separate ultra-high
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In situ processing is rapidly becoming a reality with the development of vacuum compatible growth doping etching and deposition techniques. Focused ion beam (FIB) technology may play a critical role in this field since it offers a wide range of new maskiess processing techniques. Selective three - dimensional doping micromachining of optical structures and organometallic deposition have been demonstrated using a variety of FIB systems. However previous localized deposition procedures using FIB''s have suffered from high levels of impurity contamination and low writing speeds. Typically the impurity levels in Al W or Au deposits have been much greater than 20 and ion doses of 1017 to 1018/cm2 have been required. While these characteristics are acceptable for optical or x-ray mask repair a more sensitive and higher purity process is desirable for circuit reconfiguration and in situ fabrication. Previous FIB deposition techniques have relied on ion-induced decomposition processes that occur when an adlayer is bombarded with a beam of ions. Since the decomposition products have generally had low volatility and therefore have remained on the surface the resulting deposits have contained high percentages of carbon and oxygen. In addition the atomic yields (atoms deposited per incident ion) have been extremely low ranging from 1 to 100. Thus it is believed that collisions between secondary electrons produced near the surface by the primary ions and the surface gas molecules are responsible for the
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Compred with multi-wafer batch systems single wafer processing holds the potential for lower yield losses in the presence of process instability. However contamination and damage considerations can serve to offset this advantage. We report the results of preliminary experiments designed to assess the impact of particle generation metal contamination structural and electrical degradation of silicon as a results of dielectric and multi-layer resist (MLR) etching in a single wafer magnetron enhanced reactive ion etching (MERlE) system. In this work SIMS AUGER ESCA RBS MOS-CV and Schottky barrier diode results are presented. Also included are data on foreign material (FM) particulate levels.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.