The ITRS roadmap specifies wafer overlay control as one of the major tasks for the sub 40 nm nodes in addition to CD control and defect control. Wafer overlay is strongly dependent on mask image placement error (registration errors or Reg errors)1. The specifications for registration or mask placement accuracy are significantly tighter in some of the double patterning techniques (DPT). This puts a heavy challenge on mask manufacturers (mask shops) to comply with advanced node registration specifications. The conventional methods of feeding back the systematic registration error to the E-beam writer and re-writing the mask are becoming difficult, expensive and not sufficient for the advanced nodes especially for double pattering technologies.
Six production masks were measured on a standard registration metrology tool and the registration errors were calculated and plotted. Specially developed algorithm along with the RegC Wizard (dedicated software) was used to compute a correction lateral strain field that would minimize the registration errors. This strain field was then implemented in the photomask bulk material using an ultra short pulse laser based system. Finally the post process registration error maps were measured and the resulting residual registration error field with and without scale and orthogonal errors removal was calculated.
In this paper we present a robust process flow in the mask shop which leads up to 32% registration 3sigma improvement, bringing some out-of-spec masks into spec, utilizing the RegC® process in the photomask periphery while leaving the exposure field optically unaffected.
The ITRS roadmap specifies wafer overlay control as one of the major tasks for the sub 40 nm nodes in addition to CD
control and defect control. Wafer overlay is strongly dependent on mask image placement error (registration errors or
Reg errors)1. The specifications for registration or mask placement accuracy are significantly tighter in some of the
double patterning techniques (DPT). This puts a heavy challenge on mask manufacturers (mask shops) to comply with
advanced node registration specifications. The conventional methods of feeding back the systematic registration error to
the E-beam writer and re-writing the mask are becoming difficult, expensive and not sufficient for the advanced nodes
especially for double pattering technologies.
Six production masks were measured on a standard registration metrology tool and the registration errors were calculated
and plotted. Specially developed algorithm along with the RegC Wizard (dedicated software) was used to compute a
correction lateral strain field that would minimize the registration errors. This strain field was then implemented in the
photomask bulk material using an ultra short pulse laser based system. Finally the post process registration error maps
were measured and the resulting residual registration error field with and without scale and orthogonal errors removal
was calculated.
In this paper we present a robust process flow in the mask shop which leads up to 32% registration 3sigma improvement,
bringing some out-of-spec masks into spec, utilizing the RegC® process in the photomask periphery while leaving the
exposure field optically unaffected.
The 2009 ITRS update specifies wafer overlay control as one of the major tasks for the sub 40 nm
nodes. Wafer overlay is strongly dependent on mask image placement error (registration errors or
Reg errors)1 in addition to CD control and defect control. The specs for registration or mask
placement accuracy are twice as difficult in some of the double patterning techniques (DPT). This
puts a heavy challenge on mask manufacturers (mask shops) to comply with advanced node
registration specifications.
Registration test masks as well as production masks were measured on a standard registration tool
and the registration error was calculated and plotted. A specially developed algorithm was used to
compute a correction lateral strain field that would minimize the registration error. A laser based
prototype RegCTM tool was used to generate a strain field which corrected for the pre measured
registration errors. Finally the post registration error map was measured. The resulting residual
registration error field with and without scale and orthogonal errors removed was calculated.
In this paper we present first results of registration control experiments using the prototype
RegCTM tool.
Intra-field CD variation can be corrected through wafer CD feedback to the scanner in what is called the Dose Mapper
(DOMA) process. This will correct errors contributed from both reticle and scanner processes. Scanner process errors
include uncorrected illumination non uniformities and projection lens aberration. However, this is a tedious process
involving actual wafer printing and representative CD measurement from multiple sites. A novel method demonstrates
that measuring the full-field reticle transmission with Galileo® can be utilized to generate an intensity correction file for
the scanner DOMA feature. This correction file will include the reticle transmission map and the scanner CD signature
that has been derived in a preliminary step and stored in a database. The scanner database is periodically updated after
preventive maintenance with CD from a monitoring reticle for a specific process. This method is easy to implement as no
extra monitoring feature is needed on the production reticle for data collection and the new reticle received can be
immediately implemented to a production run without the need for wafer CD data collection. Correlation of the reticle
transmission and wafer CD measurement can be up to 90% depending on the quality of CD data measurements and
repeatability of the scanner signature. CD mapping on the Galileo® tool takes about 20 minutes for 1500 data points
(there is no limit to the number of measurement point on the Galileo®), which is more than enough for the DOMA
process. Turn Around Time (TAT) for the whole DOMA process can thus be shortened from 3 Days to about an hour
with significant savings in time and resources for the fab.
The continuous shrinking of the semiconductor device nodes requires tough specifications of CD uniformity which
result in narrowing of the lithography process window. Finding methods for expanding the process window will
enable to continue manufacturing at least one more generation using the existing litho equipment.
The CDC technology has been described in detail in past studies beginning in 2006; however it has typically been
studied from a mask shop perspective.
In this paper we will demonstrate a way to improve the CD Uniformity (CDU) on a new mask, which has a CD
uniformity problem that leads to shrinking of the lithography process window, by using the Carl Zeiss CD Control
(CDC) Technology. The methodology used and the process window improvement verification we show are based
purely on fab available techniques and do not require any input from the mask shop.
A production memory product in PSC fab P1/2 showed reduced yield due to reduced process window in one
line/space (L/S) layer. A close investigation in the fab showed wafer CD non-uniformity of 6.5nm Range and
3.95nm 3S in this layer due to a mask CDU problem.
A CDC process to improve the CDU was applied by the Carl Zeiss CDC200 tool based on wafer CD data only.
Post CDC treatment results show that CD Range was reduced to 3.8nm (42% improvement) and 3S was reduced to
1.94nm (51% improvement).
Further assessment of the litho process window of this layer showed an increase of CD-DOF from 0.15um before
(Pre) CDC to 0.30um after (Post) CDC and an exposure latitude increase from 14.1% Pre to 26.7% Post CDC.
To summarize our findings, applying the CDC process to the problematic layers allowed to increase the PW in both
DOF and exposure latitude by improving the CDU of the layer. This resulted in better yield of this product.
The continuous shrinking of the semiconductor device nodes requires tough specifications of CD uniformity which
result in narrowing of the lithography process window. Finding methods for expanding the process window will
enable to continue manufacturing at least one more generation using the existing litho equipment.
The CDC technology has been described in detail in past studies beginning in 2006; however it has typically been
studied from a mask shop perspective.
In this paper we will demonstrate a way to improve the CD Uniformity (CDU) on a new mask, which has a CD
uniformity problem that leads to shrinking of the lithography process window, by using the Carl Zeiss CD Control
(CDC) Technology. The methodology used and the process window improvement verification we show are based
purely on fab available techniques and do not require any input from the mask shop.
A production memory product in PSC fab P1/2 showed reduced yield due to reduced process window in one
line/space (L/S) layer. A close investigation in the fab showed wafer CD non-uniformity of 6.5nm Range and
3.95nm 3S in this layer due to a mask CDU problem.
A CDC process to improve the CDU was applied by the Carl Zeiss CDC200 tool based on wafer CD data only.
Post CDC treatment results show that CD Range was reduced to 3.8nm (42% improvement) and 3S was reduced to
1.94nm (51% improvement).
Further assessment of the litho process window of this layer showed an increase of CD-DOF from 0.15um before
(Pre) CDC to 0.30um after (Post) CDC and an exposure latitude increase from 14.1% Pre to 26.7% Post CDC.
To summarize our findings, applying the CDC process to the problematic layers allowed to increase the PW in
KEYWORDS: Signal attenuation, Photomasks, Semiconducting wafers, Critical dimension metrology, Scanners, Multilayers, Objectives, Deep ultraviolet, Lenses, Chemical elements
Tight control of intra-field CD variations becomes more and more important as the pattern sizes on wafer shrink. For
intra-field CD uniformity improvement several techniques have been developed. A very effective method is changing the
local mask blank transmittance according to measured Intra Field (IF) CD variations using Pixer's CDCTM technique.
This process is irreversible. For various practical reasons it would be helpful to have the opportunity for a second or
more mask blank treatments. A first application could be to improve an unsatisfying CDU post first treatment. A second
application can be the switch of the mask usage to another tool group. Furthermore, the opportunity to use multiple CDC
treatments would allow the splitting of the correction process for the mask and the tool separately, whereas in a first
correction only the mask CDU errors will be corrected and after the mask is supplied to the customer another correction
may be required to reduce the exposure tool contributions to the CDU budget.
Therefore the intention of the paper is to evaluate the opportunities of a Multiple CDC (MCDC) correction process, to
determine its accuracy and the corresponding limits.
To do this two CDC tool projection lenses have been characterized, which have been developed for different focus
positions. We will characterize their transmittance transfer performance, stability and sensitivities. The required multiple
layer distances will be determined. The linearity of the multiple CDC treatment will be analyzed using AIMSTM
measurements and wafer prints. We will present results of successful multiple CDC corrections for production masks.
In this paper, we expand on our earlier work1,2 reporting the use of high sensitivity DUV transmission metrology as a
means for detection of progressive transmission loss on mask and pellicle surfaces. We also report a use case for
incoming reticle qualification based on DUV transmission uniformity.
Traditional inspection systems rely on algorithms to locate discrete defects greater than a threshold size (typically >
100nm), or printing a wafer and then looking for repeating defects using wafer inspection and SEM review. These
types of defect inspection do not have the ability to detect transmission degradation at the low levels where it begins
to impact yield. There are numerous mechanisms for transmission degradation, including haze in its early, thin film
form, electric-field induced field migration, and pellicle degradation.
During the early development of haze, it behaves as a surface film which reduces 193nm transmission and requires
compensation by scanner dose. The film forms in a non-uniform fashion, resulting from non-uniformity of exposure
on the pattern side due to varying dose passing through the attenuating layers. As this non-uniformity evolves, there
is a gradual loss of wafer critical dimension uniformity (CDU) due to a degradation of the exposure dose
homogeneity. Electric-field induced migration also appears to manifest as a non-uniform transmission loss,
typically presenting with a radial signature.
In this paper we present evidence that a DUV transmission measurement system, GalileTM, is capable of detecting
low levels of transmission loss, prior to CDU related yield loss or the appearance of printing defects. Galileo is an
advanced DUV transmission metrology system which utilizes a wide-band, incoherent light source and non-imaging
optics to achieve sensitivities to transmission changes of less than 0.1%. Due to its very high SNR, it has a fast
MAM time of less than 1 sec per point, measuring a full field mask in as little as 30 minutes. A flexible user
interface enables users to easily define measurement recipes, threshold sensitivities, and time-based tracking of
transmission degradation. The system measures through pellicle under better than class 1 clean air conditions.
DRAM intra-field CD uniformity (CDU) demand becomes more crucial with pattern size shrink and wafer die or
memory size expanding. Intra-field CDU error mainly comes from mask CD error, scanner exposure and wafer process.
This study makes use of a method to extract systematic CDU error from multi-field CDU results. Based on the
information of the systematic CDU error, localized mask transmittance modulation is implemented to compensate the
intra-field systematic CDU error on wafer. A focused ultrafast laser beam forms shading elements in mask quartz
substrate. Mask transmittance modulation is controlled by the shading element density variation. This study will
demonstrate the intra-field CDU improvement result, CD modulation calibration validity, CD proximity variation result
and mask inspection result etc.
It has been previously demonstrated that wafer CD uniformity can be improved via an ultrafast laser system. The
system provides local CD Control (CDC) by writing inside the bulk of photomasks.
Intra-field CD variation correction has been implemented effectively in mask-shops and fabs based on CD-SEM and Scatterometry (Optical CD or OCD) as the CD data source. Using wafer CD data allows correction of all wafer
field CD contributors at once, but does not allow correcting for mask CD signature alone. For mask shops attempting to
improve CDU of the mask regardless of the exposure tool, it is a better practice to use only mask CD data as the CD
data source.
In this study, we investigate the use of an aerial imaging system AIMSTM45-193i (AIMS45) as the mask CD data
source for the CDC process. In order to determine the predictive value of the AIMS45 as input to the CDC process,
we have created a programmed CD mask with both 45nm and 65nm node L/S and hole patterns. The programmed
CD mask has CD errors of up to 20nm in 2.5nm steps (4X). The programmed CD mask was measured by AIMS45,
defining the CDU map of the programmed CD mask. The CDU data was then used by Pixer CDC200TM to correct the
CDU and bring it back to a flat, almost ideal CDU.
In order to confirm that real CDU improvement on wafer had been achieved, the mask was printed before and after
CDC on an immersion scanner at IMEC and results of pre and post CD data were compared.
A key feature of a photomask is the transmission (Tr) property of its many surfaces. Typical advanced 6" masks have 4
surfaces: back side Quartz (Qz), Front side pattern, inside pellicle and outside pellicle. In addition to the surfaces
themselves the bulk of the transparent materials- fused silica which is the material out of which the blank Qz is made and
fluoropolymer out of which the pellicle is made, have specific optical Tr properties which contribute to the total Tr
properties of the mask. Also surface coating materials like Cr, MoSi and Anti Reflective (AR) coatings have their
specific Tr contributions. Figure 1 (see paper) shows a schematic drawing with all the different contributors to Tr loss in a
photomask exposure system. Overall the wafer printed pattern fidelity to the design depends both on the physical size of the etched lines and spaces
and on the Tr properties of the spaces and of the coating material in the lines.
Factors which may contribute to transmission deviations may be:
1. Virgin Qz raw material non homogeneity.
2. Contamination by haze growth on any of the surfaces (Qz, absorber, pellicle).
3. Contamination by metal and oxide ions absorbed in the Qz and adsorbed on the Qz surface during mask
manufacturing.
4. Photochemical degradation of the pellicle and fused silica substrates.
5. Degradation of absorber thickness, particularly of MoSi, due to clean processes.
6. Other factors.
Accumulated contributions of all those factors can give rise to several percents of transmission variation. Every percent
of exposure dose change may result in 1-2 nm CD change on wafer depending on exposure and process conditions. All the above raise the need for an advanced transmission measurement system that will be able to measure transmission
at the exposure wavelength with sensitivities better than 0.1%, preferably better than 0.01% (100 ppm). Such systems are
not currently available.
A key feature of a photomask is the transmission (Tr) property of its many surfaces. Typical advanced 6" masks have 4
surfaces: back side Quartz (Qz), front side pattern, inside pellicle and outside pellicle. In addition to the surfaces
themselves, the bulk of the transparent materials- fused silica, fluoropolymers, and MoSi shifter stacks, have specific
optical Tr properties which contribute to the total Tr properties of the mask. Surface coating materials such as Cr of
varying thicknesses and Anti Reflective (AR) coatings also contribute to the total Tr of the photomask.
Overall the wafer printed pattern fidelity to the design depends both on the physical size of the etched lines and spaces
and on the Tr properties of the spaces and of the coating material in the lines. The high MEEF values reported in
advanced litho processes are most probably affected among other factors by mask Tr properties which may significantly
deviate from their ideal Tr values.
Factors which may contribute to transmission deviations include contamination on any of the surfaces due to haze
growth, contamination by metal and oxide ions absorbed in the Qz and adsorbed on the Qz surface during mask
manufacturing, photochemical degradation of the pellicle and fused silica substrates, degradation of absorber thickness
(particularly MoSi) due to clean processes, and more.
Accumulated contributions of all those factors can give rise to transmission variations of up to several percent. It is well
known that every percent of exposure dose change may result in 1-2 nm CD change on wafer depending on exposure and
process conditions.
All of the above factors raise the need for an advanced transmission measurement system that will be able to measure
transmission at the exposure wavelength with sensitivities better than 0.1%, preferably better than 0.01% (100 ppm).
Such systems are currently not available.
In this paper we describe a DUV Tr measurement system which provides the ability to measure Tr profiles of blanks and
patterned masks. The system has a very fast MAM time of less than 1 sec per point and can measure the Tr Uniformity
(TRU) profile of a full size mask with 100% coverage in less than 4 hours. The system is very flexible and allows the
user to define the density and sensitivity of the measurements in order to suit a particular task. The system measures
through pellicle under better than class 1 clean air conditions.
The system is distinguishable from existing Tr measurement systems by the fact that it is non imaging, uses an
incoherent wide band light source with very high SNR, high sensitivity, and very high stability.
CD uniformity control by ultrafast laser system writing inside the bulk of photomasks has previously been shown to be
an effective method for local CD Control (CDC) [1].
Intra-field CD variations correction has been implemented effectively in mask-shops and fabs based on CDC SEM [2, 3]
and OCD as the CD data source. Using wafer CD data allows correction of all wafer field CD contributors at once, but
does not allow correcting for mask CD signature alone. In case of a mask shop attempting to improve CDU of the mask
regardless of a particular exposure tool, it is a better practice to use mask CD data by itself as the CD data source.
We propose using an aerial imaging system AIMSTM45-193i as the mask CD data source for the CDC process.
In this study we created a programmed CD mask (65nm dense L/S) with relatively large CD errors. The programmed CD
mask was then measured by AIMSTM45-193i (AIMS45) which defined the CDU map of the programmed CD mask. The
CDU data from AIMSTM45-193i was then used by Pixer CDC101 to correct the CDU and bring it back to a flat almost
ideal CDU.
Intra-field CD variation is a main contributor to the total CD variation budget in IC manufacturing. It is essentially
caused by mask CD variations and imperfections of the exposure tool. Techniques to reduce the IF CD error will be
introduced. Tool and mask based CDU improvement techniques will be compared. Their CDU improvement potential
and their correction accuracy will be analyzed. The correction methodology will be discussed, specifically none-wafer
based CD measurement techniques as correction data input. Implementation efforts of the techniques will be compared.
KEYWORDS: Photomasks, Signal attenuation, Semiconducting wafers, Birefringence, Polarization, Image processing, Critical dimension metrology, Chemical elements, Scanners, Process control
Mask and Wafer CD Uniformity (CDU) improvement by utilizing an ultrafast laser system for writing shading elements inside the bulk of Quartz (Qz) Photomasks has previously been shown to be an effective and practical application (1).
The CD Control ( CDC) Process is working in production environments for 90 and 65 nm design rule processes which utilize KrF and ArF scanners.
Advanced design rule nodes at 45 and 32 nm will utilize high and hyper NA immersion lithography, which require highly polarized light and immersion technology. Maintaining a high degree of polarization requires low birefringence (BF) of the optical path and specifically of the mask. Current mask blanks contribute between 5 to 20 nm of BF which is too high for polarized systems. This lead to the recent introduction of special low BF blanks which provide <1nm BF per mask.
The CDC Process which introduces an optical element inside the quartz (Qz) mask performs a local change of the bulk Qz morphology which causes a local change in refractive index of the Qz and may induce some local BF. The induced BF, if too high, may potentially cause depolarization of the highly polarized light of hyper NA scanners. Depolarizing the light by a high degree has the potential to degrade the image contrast in the litho process
The current study examined the effect of the CDC Process on the mask BF at 193 nm by writing controlled attenuation shading elements inside special low BF Qz blanks and measuring the BF induced by the CDC Process.
Results: It was found that BF induced by the CDC Process is so small that its effect on loss of CDU is negligible compared to the gain in CDU. This will allow mask and IC manufactures to take advantage of Pixer's CDC Process in hyper NA litho processes at 45 and 32 nm nodes.
As pattern feature sizes on the wafer become smaller and smaller, requirements for CD variation control has become a critical issue. In order to correct CD uniformity on the wafer, the DUV light transmission distribution of the photomask was altered using an ultra-fast pulsed laser technology. By creating a small scattering pixel inside the quartz body of the mask, a multitude of such points creates Shading Elements inside the quartz according to a predetermined CD variations distribution map. These Shading Elements reduce the dose of scanner's laser illumination onto the wafer per a local area. Thus by changing the local light intensity, inside the exposure field, to a required level during the photolithographic process the wafer CD is changed locally inside the field. This complete process of writing a multitude of Shading Elements inside the mask in order to control the light transmission and hence wafer level CD locally is called the CD Control (CDC) process.
We have evaluated the tool utilizing Ultra fast laser pulses (CDC 101) for local transmission and CD controllability on the wafer. We used Binary and Att-PSM test masks and three kinds of test patterns to confirm the sensitivity of transmission and CD change by the attenuation levels of Shading Elements which is sequentially changed from 0% to 10%. We will compare the AIMS results to printed CD on wafer or simulation results, so that we can correlate the transmission change and CD change by the attenuation levels. This paper also reports the CD uniformity correction performances by using attenuation mapping method on Binary mask. We also cover how Shading Elements affect the phase and transmission on the Att-PSM.
Intra-field CD variation is, besides OPC errors, a main contributor to the total CD variation budget in IC manufacturing. It is caused mainly by mask CD errors. In advanced memory device manufacturing the minimum features are close to the resolution limit resulting in large mask error enhancement factors hence large intra-field CD variations. Consequently tight CD Control (CDC) of the mask features is required, which results in increasing significantly the cost of mask and hence the litho process costs. Alternatively there is a search for such techniques (1) which will allow improving the intrafield CD control for a given moderate mask and scanner imaging performance. Currently a new technique (2) has been proposed which is based on correcting the printed CD by applying shading elements generated in the substrate bulk of the mask by ultrashort pulsed laser exposure. The blank transmittance across a feature is controlled by changing the density of light scattering pixels. The technique has been demonstrated to be very successful in correcting intra-field CD variations caused by the mask and the projection system (2). A key application criterion of this technique in device
manufacturing is the stability of the absorbing pixels against DUV light irradiation being applied during mask projection in scanners.
This paper describes the procedures and results of such an investigation. To do it with acceptable effort a special experimental setup has been chosen allowing an evaluation within reasonable time. A 193nm excimer laser with pulse duration of 25 ns has been used for blank irradiation. Accumulated dose equivalent to 100,000 300 mm wafer exposures has been applied to Half Tone PSM mask areas with and without CDC shadowing elements. This allows the
discrimination of effects appearing in treated and untreated glass regions. Several intensities have been investigated to define an acceptable threshold intensity to avoid glass compaction or generation of color centers in the glass. The impact of the irradiation on the mask transmittance of both areas has been studied by measurements of the printed CD on wafer using a wafer scanner before and after DUV irradiation.
As IC feature sizes become smaller and smaller, requirements for Critical Dimension (CD) variations control have become a critical issue. A new process for the control and correction of intra-field CD variations (Critical Dimension Control or CDC) was applied and it's influence on defects detection and photo-masks inspection capabilities at different modes of inspection was investigated.
CD Control (CDC) of the photomask is a process in which Deep UV transmittance is selectively altered by patterns of small partially scattering shading elements (Shade in ElementTm) inside the quartz. The shading elements are formed by a process of shooting an ultrafast laser beam focused inside the mask substrate, resulting in localized intra-volume breakdown inside the quartz which creates local pixels of modified index of refraction (delta n). An array of such pixels with constant density constitutes one shading element. Process patterns are predetermined according to a CD variations map which may be supplied from wafer CD SEM, Optical CD or mask aerial imaging simulation tool (AIMS). Thus by changing local photomask transmission levels, it is possible to correct for the CD variations inside the field.
Attenuation level, or optical density of the shading elements depends on the laser pulse energy, distance between pixels, number of layers and the size of the shading element itself.
Since photomask transmittance is being changed, qualification of the impact of the transmittance changes on the defect detection and analysis capabilities are required. In this study, the principles of patterning of scattering elements inside transparent media by focusing of ultra-short laser pulses were introduced and explained. Analysis of the effects to both mask and wafer due to the CDC process was verified by full printing process applied to wafers, and by aerial imaging simulation tool. More tests for CDC required also tests by automatic reticle inspection tool to be production-worthy for the 65nm node and beyond.
The applicability of ultrafast laser 3D machining of transparent objects for photomask clear defects repair is investigated. The technology is based on patterning 3D shading elements inside quartz body of the photomask at the vicinity of clear defects in chrome layer, which effectively blocks the light for the duration of the photolithography process. Shading elements consist of an array of breakdown points in quartz, produced as a result of laser-induced breakdown and arranged in accordance with the size and location of the defects. Thresholds of bulk breakdown and chrome removal at laser irradiation from the back side of the photomask and their dependence on the pulse energy and height of focal point under chrome layer were obtained. Optical density of the shading element depends on the laser pulse energy, distance between breakdown points, the number of layers and the size of the shading element itself. To increase optical density multi layer shading elements were created. Ultrafast laser technology and a tool for photomask clear defects repair are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.